Part Number Hot Search : 
HV991 BR101 NTR3162P 21M50 AA3528 472ML C1200 R2000
Product Description
Full Text Search
 

To Download EP7212-CB-A Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  high-performance, low-power system-on-chip with lcd controller and digital audio interface (dai) 1 cirrus logic, inc. copyright ? cirrus logic, inc. 2000 p.o. box 17847, austin, texas 78760 (all rights reserved) (512) 445 7222 fax: (512) 445 7851 http://www.cirrus.com ep7212 ep7212 overview features  arm720t processor ? arm7tdmi cpu ? 8 k-bytes of four-way set-associative cache ? mmu with 64-entry tlb (transition look-aside buffer) ?write buffer ?windows ? ce enabled ? thumb code support enabled  dynamically programmable clock speeds of 18, 36, 49, and 74 mhz at 2.5 v  performance matching 100-mhz intel ? pentium-based pc  ultra low power ? designed for applications that require long battery life while using standard aa/aaa batteries or rechargeable cells ? typical power numbers  90 mw at 74 mhz in the operating state  30 mw at 18 mhz in the operating state  10 mw in the idle state (clock to the cpu stopped, everything else running)  <1 mw in the standby state (realtime clock ?on?, everything else stopped) the ep7212 is designed for ultra-low-power applica- tions such as organizers / pdas, two-way pagers, smart cellular phones or any vertical pda device that features the added capability of digital audio decom- pression. the core-logic functionality of the device is built around an arm720t processor with 8 k-bytes of four-way set-associative unified cache and a write buffer. incorporated into the arm720t is an enhanced memory management unit (mmu) which allows for support of sophisticated operating systems like microsoft windows ce. functional block diagram 32.768-khz oscillator pll interrupt controller power management dram cntrl lcd controller arm7tdmi cpu core 8-kbyte cache mmu timer counters(2) arm720t internal data bus 3.6864 mhz 32.768 khz eint[1-3], fiq, medchg batok, extpwr pwrfl, batchg uart2 irda d[0-31] npor, run, reset, wakeup expclk, word, ncs[0-3], exprdy, write moe, mwe, ras[0-1], cas[0-3] a[0-27], dra[0-12] lcd drive led and photodiode async interface 2 internal address bus 13-mhz input on-chip boot rom async interface 1 on-chip sram 38,400 bytes cl-ps6700 intf pb[0-1], ncs[4-5] expansion cntrl uart1 epb bridge epb bus ice-jtag test and development write buffer state control memory controller lcd dma ssi1 (adc) pwm adcclk, adcin, adcout, smpclk, adccs ssiclk, ssitxfr, ssitxda, ssirxda, ssirsfr dc to dc ports a, b, d (8-bit) port e (3-bit) keybd drivers (0-7) buzzer drive gpio rtc flashing led drive codec ssi2 dai (cont.) (cont.) ds474pp1 feb 00
ep7212 low-power system-on-chip with lcd controller and digital audio interface 2 ds474pp1 overview (cont.) the ep7212 also includes a 32-bit y2k-compliant realtime clock and comparator. power management the ep7212 is designed for ultra-low-power opera- tion. its core operates at only 2.5 v, while its i/o has an operation range of 2.5 v ? 3.3 v. the device has three basic power states: operating ? this state is the full performance state. all the clocks and peripheral logic are enabled. idle ? this state is the same as the operating state, except the cpu clock is halted while wait- ing for an event such as a key press. standby ? this state is equivalent to the computer being switched off (no display), and the main oscillator shut down. an event such as a key press can wake-up the processor. memory interfaces there are two main external memory interfaces. the first one is the rom / sram / flash-style inter- face that has programmable wait-state timings and includes burst-mode capability, with eight chip selects decoding six 256-mbyte sections of addressable space. for maximum flexibility, each bank can be specified to be 8, 16, or 32 bits wide. this allows the use of 8-bit-wide boot rom options to minimize over- features (cont.)  advanced audio decoder / decompression capability ? allows for support of multiple audio decompression algorithms ? supports mpeg 1, 2, & 2.5 layer 3 audio decoding, including iso compliant mpeg 1 & 2 layer 3 support for all standard sample rates and bit rates ? supports bit streams with adaptive bit rates ? dai (digital audio interface) providing glueless interface to low-power dacs, adcs, and codecs  lcd controller ? interfaces directly to a single-scan panel monochrome lcd ? panel width size is programmable from 32 to 1024 pixels in 16-pixel increments ? video frame buffer size programmable up to 128 kbytes ? bits per pixel of 1, 2, or 4 bits  dram controller ? supports both 16- and 32-bit-wide drams ? edo support (fast page mode support for 13 mhz and 18 mhz operation only)  memory controller ? decodes up to 6 separate memory segments of up to 256 mbytes each ? each segment can be configured as 8, 16, or 32 bits wide and supports page-mode access ? programmable access time for conventional rom / sram / flash memory ? supports removable flash card interface ? enables connection to removable flash card for addition of expansion flash memory modules  38,400 bytes (0x9600) of on-chip sram for fast program execution and / or as a frame buffer  synchronous serial interface ? adc (ssi) interface: master mode only; spi ? ?  on-chip rom; for manufacturing support  27-bits of general-purpose i/o ? three 8-bit and one 3-bit gpio port ? supports scanning keyboard matrix  two uarts (16550 type) ? supports bit rates up to 115.2 kbps ? contains two 16-byte fifos for tx and rx ? uart1 supports modem control signals  sir (up to 115.2 kbps) infrared encoder / decoder ? irda (infrared data association) sir protocol encoder / decoder  dc-to-dc converter interface (pwm) ? provides two 96-khz clock outputs with programmable duty ratio (from 1-in-16 to 15-in-16) that can be used to drive a dc to dc converter  two timer counters  208-pin lqfp or new 256-ball pbga packages  evaluation kit available with bom, schematics, sample code, and design database  support for up to two ultra-low-power cl-ps6700 pc card controllers  dedicated led flasher pin from rtc  full jtag boundary scan and embedded ice ? support  commercial operating temperature range
ep7212 low-power system-on-chip with lcd controller and digital audio interface 3 ds474pp1 overview (cont.) all system cost. the on-chip boot rom can be used in product manufacturing to serially download system code into system flash memory. to further mini- mize system memory requirements and cost, the arm thumb ? digital audio capability the ep7212 uses its powerful 32-bit risc process- ing engine to implement audio decompression algo- rithms in software. the nature of the on-board risc processor and the availability of efficient c-compilers and other software development tools, ensures that a wide range of audio decompression algorithms can easily be ported to and run on the ep7212. serial interfaces the ep7212 includes two 16550-type uarts for rs- 232 serial communications, both of which have two 16-byte fifos for receiving and transmitting data. the uarts support bit rates up to 115.2 kbps. an irda sir protocol encoder / decoder can be option- ally switched into the rx / tx signals to / from one of the uarts to enable these signals to drive an infrared communication interface directly. digital audio interface (dai) the ep7212 integrates an interface to enable a direct connection to many low cost, low power, high quality audio converters. in particular, the dai can directly interface with the crystal ? ? packaging the ep7212 is available in a 208-pin lqfp package and a 256-ball pbga package. system design as shown in system block diagram, simply adding desired memory and peripherals to the highly integrated ep7212 completes a low-power system solution. all necessary interface logic is integrated on-chip.
ep7212 low-power system-on-chip with lcd controller and digital audio interface 4 ds474pp1 lcd module keyboard battery dc-to-dc converters adc digitizer ir led and photodiode 2 rs-232 transceivers additional i/o cl-ps6700 pc card controller pc card socket cs[4] pb0 expclk dd[3:0] cl1 cl2 fm m d[31:0] a[27:0] col[7:0] pa[7:0] dc input moe write ras[1] ras[0] cas[0] cas[1] cas[2] cas[3] pb[7:0] pd[7:0] pe[2:0] por pwrfl batok extpwr batchg run wakeup ncs[0] ncs[1] drive[1:0] fb[1:0] ep7212 adcclk adccs adcout adcin smpclk leddrv phdin rxd1/2 txd1/2 dsr cts dcd cs[n] word cs[2] cs[3] 16 dram 16 dram 16 flash 16 flash 16 flash external memory- mapped expansion buffers buffers and latches 16 flash 16 dram 16 dram power supply unit and comparators crystal codec/ssi2/ dai ssiclk ssitxfr ssitxda ssirxda rtcin a ep7212 ? based system crystal moscin
ep7212 ds474pp1 5 table of contents 1. conventions ............................................................................................................... ....... 11 1.1 acronyms and abbreviations ............................................................................................ 11 1.2 units of measurement ..................................................................................................... .12 1.3 general conventions ....................................................................................................... .12 1.4 pin description conventions ............................................................................................. 12 2. pin information ........................................................................................................... ..... 13 2.1 208-pin lqfp pin diagram .............................................................................................. 13 2.2 pin descriptions .......................................................................................................... ...... 14 2.2.1 external signal functions ................................................................................... 14 2.2.2 ssi/codec/dai pin multiplexing ............................................................................. 18 2.2.3 output bi-directional pins .................................................................................... 18 3. functional description ............................................................................................... 19 3.1 cpu core .................................................................................................................. ........ 20 3.2 state control ............................................................................................................. ........ 21 3.2.1 standby state .......................................................................................................... 2 1 3.2.1.1 uart in standby state ............................................................................... 22 3.2.2 idle state .............................................................................................................. ... 23 3.2.3 keyboard interrupt ................................................................................................... 23 3.3 power-up sequence ......................................................................................................... 23 3.4 resets .................................................................................................................... ........... 24 3.5 clocks .................................................................................................................... ........... 25 3.5.1 on-chip pll ............................................................................................................ 2 5 3.5.1.1 characteristics of the pll interface ............................................................ 25 3.5.2 external clock input (13 mhz) ................................................................................ 26 3.5.3 dynamic clock switching when in the pll clocking mode .................................... 26 3.6 interrupt controller ...................................................................................................... ...... 27 3.6.1 interrupt latencies in different states ..................................................................... 27 3.6.1.1 operating state ........................................................................................... 27 3.6.1.2 idle state ..................................................................................................... 29 3.6.1.3 standby state .............................................................................................. 29 3.7 ep7212 boot rom .......................................................................................................... 29 3.8 memory and i/o expansion interface ............................................................................... 30 3.9 dram controller with edo support ................................................................................. 31 3.10 cl-ps6700 pc card controller interface ....................................................................... 33 3.11 endianness ............................................................................................................... ...... 36 3.12 internal uarts (two) and sir encoder ......................................................................... 36 3.13 serial interfaces ........................................................................................................ ...... 38 contacting cirrus logic support for a complete listing of direct sales, distributor, and sales representative contacts, visit the cirrus logic web site at: http://www.cirrus.com/corporate/contacts/ preliminary product information describes products which are in production, but for which full characterization data is not yet available. advance product information describes products which are in development and subject to development changes. cirrus logic, inc. has made best efforts to en sure that the information contained in this document is accurate and reliable. however, the information is subject to change without notice and is provided ?as is ? without warranty of any kind (express or implied). no responsibility is assumed by cirrus logic, inc. for the use of this information, nor for infringements of pate nts or other rights of third parties. this doc ument is the property of cirrus logic, inc. and implies no license under patents, copyrights, trademarks, or trade secrets. no part of this publication may be copied reproduced, stored in a retrieval system, or transmitted, in any form or by any means (electronic, mechanical, photographic, or otherwise) without the prior written con sent of cirrus logic, inc. items from any cirrus logic website or disk may be printed for use by the user. however, no part o f the printout or electronic files may be copied, reproduced, stored in a retrieval system, or transmitted, in any form or by any means (electronic, mechanical, photogra phic, or otherwise) without the prio written consent of cirrus logic, inc.furthermore, no part of this publication may be used as a basis for manufacture or sale of any items without the prior written consen of cirrus logic, inc. the names of products of cirrus logic, inc. or other vendors and suppliers appearing in this document ma y be trademarks or service marks o their respective owners which may be registered in some jurisdictions. a list of cirrus logic, inc. trademarks and service mar ks can be found at http://www.cirrus.com ds474pp1 ep7212
ep7212 6 ds474pp1 3.13.1 codec sound interface .......................................................................................... 39 3.13.2 digital audio interface ............................................................................................ 40 3.13.2.1 dai operation ............................................................................................ 41 3.13.2.2 dai frame format ..................................................................................... 41 3.13.2.3 dai signals ................................................................................................ 42 3.13.3 adc interface ? master mode only ssi1 (synchronous serial interface) ........... 42 3.13.4 master / slave ssi2 (synchronous serial interface 2) .......................................... 43 3.13.4.1 read back of residual data ..................................................................... 44 3.13.4.2 support for asymmetric traffic .................................................................. 45 3.13.4.3 continuous data transfer ......................................................................... 45 3.13.4.4 discontinuous clock .................................................................................. 45 3.13.4.5 error conditions ......................................................................................... 46 3.13.4.6 clock polarity ............................................................................................. 46 3.14 lcd controller with support for on-chip frame buffer .................................................. 46 3.15 timer counters ........................................................................................................... .... 47 3.15.1 free running mode ............................................................................................... 48 3.15.2 prescale mode ....................................................................................................... 48 3.16 real time clock .......................................................................................................... .... 49 3.16.1 characteristics of the real time clock interface ................................................... 49 3.17 dedicated led flasher ................................................................................................... 4 9 3.18 two pwm interfaces ....................................................................................................... 49 3.19 boundary scan ............................................................................................................ .... 50 3.20 in-circuit emulation ..................................................................................................... .... 50 3.20.1 introduction ........................................................................................................... .50 3.20.2 functionality .......................................................................................................... .51 3.21 maximum ep7212-based system .................................................................................. 51 4. memory map ................................................................................................................ ....... 53 5. register descriptions .................................................................................................. 54 5.1 internal registers ........................................................................................................ ...... 54 5.1.1 padr port a data register ..................................................................................... 57 5.1.2 pbdr port b data register ..................................................................................... 57 5.1.3 pddr port d data register .................................................................................... 57 5.1.4 paddr port a data direction register ................................................................... 58 5.1.5 pbddr port b data direction register ................................................................... 58 5.1.6 pdddr port d data direction register ................................................................... 58 5.1.7 pedr port e data register ..................................................................................... 58 5.1.8 peddr port e data direction register ................................................................... 58 5.2 system control registers ............................................................................................... 58 5.2.1 syscon1 the system control register 1 ............................................................. 58 5.2.2 syscon2 system control register 2 ..................................................................... 61 5.2.3 syscon3 system control register 3 ..................................................................... 63 5.2.4 sysflg1 ? the system status flags register .................................................... 64 5.2.5 sysflg2 system status register 2 ....................................................................... 66 5.3 interrupt registers ....................................................................................................... ...... 67 5.3.1 intsr1 interrupt status register 1 ......................................................................... 67 5.3.2 intmr1 interrupt mask register 1 .......................................................................... 68 5.3.3 intsr2 interrupt status register 2 ......................................................................... 69 5.3.4 intmr2 interrupt mask register 2 .......................................................................... 69 5.3.5 intsr3 interrupt status register 3 ......................................................................... 70 5.3.6 intmr3 interrupt mask register 3 .......................................................................... 70 5.4 memory configuration registers ....................................................................................... 71 5.4.1 memcfg1 memory configuration register 1 ......................................................... 71 5.4.2 memcfg2 memory configuration register 2 ......................................................... 71
ep7212 ds474pp1 7 5.5 timer / counter registers ................................................................................................. 74 5.5.1 tc1d timer counter 1 data register ..................................................................... 74 5.5.2 tc2d timer counter 2 data register ..................................................................... 74 5.5.3 rtcdr real time clock data register ................................................................. 74 5.5.4 rtcmr real time clock match register ............................................................... 74 5.6 ledflsh register .......................................................................................................... .75 5.7 pmpcon pump control register ..................................................................................... 76 5.8 codr ? the codec interface data register ................................................................ 77 5.9 uart registers ............................................................................................................ .... 77 5.9.1 uartdr1 ? 2, uart1 ? 2 data registers ................................................................. 77 5.9.2 ubrlcr1 ? 2 uart1 ? 2 bit rate and line control registers ................................. 78 5.10 lcd registers ............................................................................................................ ..... 79 5.10.1 lcdcon ? the lcd control register ................................................................ 79 5.10.2 pallsw least significant word ? lcd palette register ................................... 80 5.10.3 palmsw most significant word ? lcd palette register ................................... 81 5.10.4 fbaddr lcd frame buffer start address ........................................................... 81 5.11 ssi register ............................................................................................................. ....... 82 5.11.1 syncio synchronous serial adc interface data register .................................. 82 5.12 stfclr clear all ? start up reason ? flags location ......................................................... 83 5.13 end of interrupt locations .............................................................................................. 8 3 5.13.1 bleoi battery low end of interrupt ...................................................................... 83 5.13.2 mceoi media changed end of interrupt .............................................................. 83 5.13.3 teoi tick end of interrupt location ...................................................................... 83 5.13.4 tc1eoi tc1 end of interrupt location ................................................................. 83 5.13.5 tc2eoi tc2 end of interrupt location ................................................................. 84 5.13.6 rtceoi rtc match end of interrupt .................................................................... 84 5.13.7 umseoi uart1 modem status changed end of interrupt .................................. 84 5.13.8 coeoi codec end of interrupt location ............................................................... 84 5.13.9 kbdeoi keyboard end of interrupt location ........................................................ 84 5.13.10 srxeof end of interrupt location ..................................................................... 84 5.14 state control registers .................................................................................................. .84 5.14.1 stdby enter the standby state location ............................................................. 84 5.14.2 halt enter the idle state location ....................................................................... 84 5.15 ss2 registers ............................................................................................................ ..... 85 5.15.1 ss2dr synchronous serial interface 2 data register ......................................... 85 5.15.2 ss2pop synchronous serial interface 2 pop residual byte ............................... 85 5.16 dai register definitions ................................................................................................. .85 5.16.1 dair dai control register .................................................................................... 86 5.16.1.1 dai enable (daien) .................................................................................. 87 5.16.1.2 dai interrupt generation ........................................................................... 87 5.16.1.3 left channel transmit fifo interrupt mask (lctm) ................................. 87 5.16.1.4 left channel receive fifo interrupt mask (larm) ................................. 87 5.16.1.5 right channel transmit fifo interrupt mask (rctm) .............................. 87 5.16.1.6 right channel receive fifo interrupt mask (rcrm) .............................. 88 5.16.1.7 loopback mode (lbm) .............................................................................. 88 5.16.2 dai data registers ................................................................................................ 89 5.16.2.1 daidr0 dai data register 0 .................................................................... 89 5.16.2.2 daidr1 dai data register 1 .................................................................... 90 5.16.2.3 daidr2 dai data register 2 .................................................................... 91 5.16.3 daisr dai status register ................................................................................... 92 5.16.3.1 right channel transmit fifo service request flag (rcts) ................... 94 5.16.3.2 right channel receive fifo service request flag (rcrs) ................... 94 5.16.3.3 left channel transmit fifo service request flag (lcts) ...................... 94
ep7212 8 ds474pp1 5.16.3.4 left channel receive fifo service request flag (lcrs) ....................... 94 5.16.3.5 right channel transmit fifo underrun status (rctu) ........................... 94 5.16.3.6 right channel receive fifo overrun status (rcro) ............................. 94 5.16.3.7 left channel transmit fifo underrun status (lctu) .............................. 95 5.16.3.8 left channel receive fifo overrun status (lcro) ................................ 95 5.16.3.9 right channel transmit fifo not full flag (rcnf) ................................. 95 5.16.3.10 right channel receive fifo not empty flag (rcne) ........................... 95 5.16.3.11 left channel transmit fifo not full flag (lcnf) .................................. 95 5.16.3.12 left channel receive fifo not empty flag (lcne) .............................. 95 5.16.3.13 fifo operation completed flag (fifo) .................................................. 95 6. electrical specifications .......................................................................................... 96 6.1 absolute maximum ratings .............................................................................................. 96 6.2 recommended operating conditions .............................................................................. 96 6.3 dc characteristics ........................................................................................................ .... 96 6.4 ac characteristics ........................................................................................................ .... 98 6.5 i/o buffer characteristics ................................................................................................ 110 6.6 jtag boundary scan signal ordering ........................................................................... 111 7. test modes ................................................................................................................ ....... 114 7.1 oscillator and pll bypass mode .................................................................................... 114 7.2 oscillator and pll test mode ......................................................................................... 114 7.3 debug / ice test mode .................................................................................................. 11 5 7.4 hi-z (system) test mode ............................................................................................... 115 7.5 software selectable test functionality .......................................................................... 115 8. pin information ........................................................................................................... ... 116 8.1 208-pin lqfp pin diagram ............................................................................................. 116 8.2 208-pin lqfp numeric pin listing ................................................................................. 117 8.3 256-pin pbga pin diagram ............................................................................................ 120 8.4 256-ball pbga ball listing .............................................................................................. 12 1 9. package specifications ............................................................................................. 125 9.1 208-pin lqfp package outline drawing ....................................................................... 125 9.2 ep7212 256-ball pbga (17 17 1.53-mm body) dimensions ................................... 126 10. ordering information ............................................................................................... 127 11. appendix a: boot code .............................................................................................. 128 12. index .................................................................................................................... ............. 133
ep7212 ds474pp1 9 list of figures figure 1. 208-pin lqfp (low profile quad flat pack) pin diagram ............................................ 13 figure 2. ep7212 block diagram................................................................................................. .20 figure 3. state diagram ........................................................................................................ ........ 21 figure 4. clken timing entering the standby state ................................................................... 26 figure 5. clken timing entering the standby state ................................................................... 26 figure 6. codec interrupt timing ............................................................................................... ... 40 figure 7. dai interface ........................................................................................................ .......... 41 figure 8. ep7212 rev c - digital audio interface timing ? msb / left justified format............... 42 figure 9. ssi2 port directions in slave and master mode............................................................ 44 figure 10. residual byte reading ............................................................................................... .45 figure 11. video buffer mapping ................................................................................................ .. 48 figure 12. a maximum ep7212 based system ............................................................................ 52 figure 13. consecutive memory read cycles with minimum wait states ................................. 100 figure 14. sequential page mode read cycles with minimum wait states............................... 101 figure 15. consecutive memory write cycles with minimum wait states.................................. 102 figure 16. dram read cycles at 13 mhz and 18.432 mhz ...................................................... 103 figure 17. dram read cycles at 36 mhz.................................................................................. 104 figure 18. dram write cycles at 13 mhz and 18 mhz ............................................................. 105 figure 19. dram write cycles at 36 mhz.................................................................................. 106 figure 20. video quad word read from dram at 13 mhz and 18 mhz ................................... 107 figure 21. quad word read from dram at 36 mhz.................................................................. 107 figure 22. dram cas before ras refresh cycle at 13 mhz and 18 mhz............................... 108 figure 23. dram cas before ras refresh cycle at 36 mhz ................................................... 109 figure 24. lcd controller timings.............................................................................................. 109 figure 25. ssi interface for ad7811/2 ........................................................................................ 11 0 figure 26. ssi2 interface timings.............................................................................................. .110 figure 27. 208-pin lqfp (low profile quad flat pack) pin diagram ........................................ 116 figure 28. 256-ball plastic ball grid array diagram ................................................................... 120 list of tables table 1. acronyms and abbreviations .......................................................................................... 11 table 2. unit of measurement................................................................................................... .... 12 table 3. pin description conventions ........................................................................................... 12 table 4. external signal functions ............................................................................................. .. 14 table 5. ssi/codec/dai pin multiplexing...................................................................................... 18 table 6. output bi-directional pins ............................................................................................ ... 18 table 7. peripheral status in different power management states.............................................. 22 table 8. exception priority handling ........................................................................................... .. 27 table 9. interrupt allocation in the first interrupt register............................................................ 28 table 10. interrupt allocation in the second interrupt register .................................................... 28 table 11. interrupt allocation in the third interrupt register ........................................................ 28 table 12. external interrupt source latencies.............................................................................. 30 table 13. chip select address ranges after boot from on-chip boot rom.............................. 30 table 14. boot options ......................................................................................................... ........ 31 table 15. physical to dram address mapping ............................................................................ 32 table 16. dram address mapping when connected to an external 32-bit dram memory system ............................................................................................................... 33 table 17. cl-ps6700 memory map.............................................................................................. 34 table 18. space field decoding ................................................................................................. .. 34
ep7212 10 ds474pp1 table 19. effect of endianness on read operations .................................................................... 37 table 20. effect of endianness on write operations .................................................................... 37 table 21. serial interface options............................................................................................. .... 39 table 22. serial-pin assignments ............................................................................................... .. 39 table 23. adc interface operation frequencies .......................................................................... 43 table 24. instructions supported in jtag mode .......................................................................... 50 table 25. device id register ................................................................................................... ..... 51 table 26. ep7212 memory map in external boot mode ............................................................... 53 table 27. ep7212 internal registers (little endian mode) ........................................................... 55 table 28. ep7212 internal registers (big endian mode).............................................................. 57 table 29. syscon1 .............................................................................................................. ....... 59 table 30. syscon2 .............................................................................................................. ....... 61 table 31. syscon3 .............................................................................................................. ....... 63 table 32. sysflg ............................................................................................................... ......... 64 table 33. sysflg2 .............................................................................................................. ........ 66 table 34. intsr1............................................................................................................... ........... 67 table 35. instr2............................................................................................................... ........... 69 table 36. intsr3............................................................................................................... ........... 70 table 37. values of the bus width field ....................................................................................... 7 2 table 38. values of the wait state field at 13 mhz and 18 mhz ................................................. 72 table 39. values of the wait state field at 36 mhz...................................................................... 72 table 40. memcfg ............................................................................................................... ....... 73 table 41. led flash rates ...................................................................................................... ..... 75 table 42. led duty ratio....................................................................................................... ....... 75 table 43. pmpcon............................................................................................................... ........ 76 table 44. sense of pwm control lines .......................................................................................... 7 6 table 45. uartdr1-2 uart1-2 .................................................................................................. 77 table 46. ubrlcr1-2 uart1-2 .................................................................................................. 78 table 47. lcdcon ............................................................................................................... ........ 79 table 48. grayscale value to color mapping................................................................................ 81 table 49. syncio............................................................................................................... .......... 82 table 50. dai control register ................................................................................................. .... 86 table 51. dai data register 0 .................................................................................................. .... 89 table 52. dai data register 1 .................................................................................................. .... 90 table 53. dai data register 2 .................................................................................................. .... 91 table 54. dai control, data and status register locations ......................................................... 92 table 55. absolute maximum ratings ........................................................................................... 96 table 56. recommended operating conditions ........................................................................... 96 table 57. dc characteristics ................................................................................................... ..... 96 table 58. ac timing characteristics............................................................................................ .98 table 59. timing characteristics............................................................................................... .... 99 table 60. i/o buffer output characteristics ................................................................................ 111 table 61. 208-pin lqfp numeric pin listing.............................................................................. 111 table 62. ep7212 hardware test modes ................................................................................... 114 table 63. oscillator and pll test mode signals ........................................................................ 115 table 64. software selectable test functionality ....................................................................... 115 table 65. 208-pin lqfp numeric pin listing.............................................................................. 117 table 66. 256-ball pbga ball listing.......................................................................................... 1 21
ep7212 ds474pp1 11 1. conventions this section presents acronyms, abbreviations, units of measurement, and conventions used in this data sheet. 1.1 acronyms and abbreviations table 1 lists abbreviations and acronyms used in this data sheet. acronym/ abbreviation definition ac alternating current. a/d analog-to-digital. adc analog-to-digital converter. cmos complementary metal oxide semiconductor. codec coder / decoder. cpu central processing unit. d/a digital-to-analog. dc direct current. dma direct-memory access. epb embedded peripheral bus. fcs frame check sequence. fifo first in / first out. gpio general purpose i/o. ict in circuit test. ir infrared. irda infrared data association. jtag joint test action group. lcd liquid crystal display. led light-emitting diode. lqfp low profile quad flat pack. lsb least significant bit. mips millions of instructions per sec- ond. mmu memory management unit. msb most significant bit. pbga plastic ball grid array. pcb printed circuit board. pda personal digital assistant. table 1. acronyms and abbreviations pia peripheral interface adapter. pll phase locked loop. psu power supply unit. p/u pull-up resistor. ram random access memory. risc reduced instruction set com- puter. rom read-only memory. rtc real time clock. sir slow (9600 ? 115.2 kbps) infrared. sram static random access memory. ssi synchronous serial interface. tap test access port. tlb translation lookaside buffer. uart universal asynchronous receiver. acronym/ abbreviation definition table 1. acronyms and abbreviations (cont.)
ep7212 12 ds474pp1 1.2 units of measurement 1.3 general conventions hexadecimal numbers are presented with all letters in uppercase and a lowercase ?h? appended or with a 0x at the beginning. for example, 0x14 and 03cah are hexadecimal numbers. binary numbers are enclosed in single quotation marks when in text (for example, ?11? designates a binary number). numbers not indicated by an ?h?, 0x or quotation marks are decimal. registers are referred to by acronym, as listed in the tables on the previous page, with bits listed in brackets msb-to-lsb separated by a colon (:) (for example, codr[7:0]), or lsb-to-msb separated by a hyphen (for example, codr[0?2]). the use of ?tbd? indicates values that are ?to be de- termined?, ?n/a? designates ?not available?, and ?n/c? indicates a pin that is a ?no connect?. 1.4 pin description conventions abbreviations used for signal directions are listed in table 3 . symbol unit of measure c degree celsius hz hertz (cycle per second) kbits/s kilobits per second kbyte kilobyte (1,024 bytes) khz kilohertz k ? kilohm mbps megabits (1,048,576 bits) per second mbyte megabyte (1,048,576 bytes) mhz megahertz (1,000 kilohertz) amicroampere fmicrofarad wmicrowatt s microsecond (1,000 nanoseconds) ma milliampere mw milliwatt ms millisecond (1,000 microseconds) ns nanosecond vvolt wwatt table 2. unit of measurement abbreviation direction i input ooutput i/o input or output table 3. pin description conventions
ep7212 ds474pp1 13 2. pin information 2.1 208-pin lqfp pin diagram 160 159 158 157 53 54 55 56 57 58 59 60 61 62 63 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 106 107 108 109 11 0 11 2 11 3 11 4 11 5 11 6 11 7 11 8 11 9 120 121 64 65 67 68 69 70 71 72 73 74 75 66 98 99 100 101 102 103 104 122 124 125 126 127 128 129 130 105 131 132 133 134 156 155 154 153 152 151 150 149 148 147 146 145 144 143 140 139 138 137 136 141 142 135 161 162 163 164 165 166 167 168 169 170 171 172 173 174 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 201 202 203 204 205 206 207 208 200 175 176 177 178 179 123 111 ep7212 208-pin lqfp (top view) 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 51 50 52 1 nextpwr batok npor vssosc vddosc moscin moscout nureset wakeup a[6] d[6] a[5] d[5] vddio vssio a[4] d[4] a[3] d[3] npwrfl a[2] d[2] a[1] a[0] d[0] vddcore vssio vddio cl[2] cl[1] frm m dd[2] dd[1] dd[0] nras[1] ncas[3] ncas[2] vddio vssio ncas[0] nmwe nmoe ncs[0] ncs[1] ncs[2] ncs[3] d[7] a[7] d[8] a[8] d[9] d[10] a[10] vssio vddio a[11] d[12] a[12] d[13] a[13] d[14] dd[3] d[17] d[15] a[17] /dra[10] ntrst vssio vddio d[18] a[18 /dra[9] d[19] a[19] /dra[8] d[20] vssio a[21] /dra[6] d[22] d[23] a[23] /dra[4] d[24] vssio vddio a[24] /dra[3] halfword a[14] nbatchg a[25]/dra[2] d[25] d[27] a[27]/dra[0] vssio d[28] d[29] d[30] d[31] buz col[0] col[1] tclk vddio col[2] col[3] col[4] col[5] col[6] col[7] fb[0] vssio fb[1] adcout adcclk drive[0] vddio pd[2] vssio vsscore nadccs adcin ssirxda ssirxfr ssitxda ssitxfr vssio ssiclk pd[0]/ledflsh pd[1] pd[3] a[22] /dra[5] pd[4] vddio pd[5] pd[6] drive[1] pd[7] d[26] a[15] /dra[12] d[16] a[16] /dra[11] ncs[4] vddcore a[26]/dra[1] d[21] tms a[20] /dra[7] smpclk d[11] a[9] d[1] vsscore nras[0] ncas[1] vssio vssio vssio vssio expclk word write run/clken exprdy pb[7] pb[6] pb[5] pb[4] pb[3] pb[2] pb[1]/prdy[2] vssio tdi vddio tdo pe[2]/clksel nextfiq pa[6] pa[5] pa[4] pa[3] pa[2] pa[1] pa[0] leddrv txd[2] phdin cts rxd[2] dcd dsr rtcout rtcin vssio pa[7] vddio vssio ncs[5] pb[0]/prdy[1] txd[1] rxd[1] ntest[1] ntest[0] eint[3] neint[2] neint[1] pe[1]bootsel[1] pe[0]bootsel[0] n/c vssrtc vddrtc figure 1. 208-pin lqfp (low profile quad flat pack) pin diagram notes: 1) for package specifications, please see 208--pin lqfp package outline drawing on page 125 2) n/c should not be grounded but left as no connects nmedchg/nbrom
ep7212 14 ds474pp1 2.2 pin descriptions table 4 describes the function of all the external signals to the ep7212. note that all output signals and all i/o pins (when acting as outputs) are three stateable. this is to enable the hi-z test modes to be supported. 2.2.1 external signal functions function signal name signal description data bus d[0-31] i/o 32-bit system data bus for memory, dram, and i/o interface address bus a[0-14] o 15 bits of system byte address during memory and expansion cycles a[15-27] dra[0-12] dra[0-12] is multiplexed with a[15-27], offering additional power savings since the lightest loading is expected on the high order rom address lines. whenever the ep7212 is in the standby state, the external address and data buses are driven low. the run signal is used internally to force these buses to be driven low. this is done to prevent peripherals that are powered-down from draining current. also, the internal peripheral ? s signals get set to their reset state . memory interface nras[0-1] o row address select outputs to dram banks 0 to 1. ncas[0-3] i/o column address select outputs allowing for bytes 0 to 3 within a 32-bit word. nmoe o memory output enable nmwe o memory write enable ncs[0-3] o chip select; active low, sram-like chip selects for expansion ncs[4-5] o chip select; active low, cs for expansion or for cl-ps6700 select exprdy i expansion port ready; external expansion devices drive this low to extend the bus cycle. this is used to insert wait states for an external bus cycle. write o write strobe, low during reads, high during writes from the ep7212 word/ halfword o to do write accesses of different sizes word and half-word must be externally decoded. the encoding of these signals is as follows: the core will generate an address. when doing a read, the arm core will select the appropriate byte channels. when doing a write, the correct bytes will have to be enabled depending on the above signals and the least signifi- cant bits of the address bus. the arm architecture does not support unaligned accesses. for a read using x 32 memory, it is assumed that you will ignore bits 1 and 0 of the address bus and perform a word read (or in power critical systems decode the relevant bits depending on the size of the access). if an unaligned read takes place, the core will rotate the resulting data in the register. for more information on this behavior see the ldr instruction in the arm7tdmi data sheet. expclk i/o expansion clock rate is the same as the cpu clock for 13 mhz and 18 mhz. it runs at 36.864 mhz for 36,49 and 74 mhz modes; in 13 mhz mode this pin is used as the clock input. table 4. external signal functions access size word half-word word 1 0 half-word * 1 byte 0 0
ep7212 ds474pp1 15 interrupts nmedchg/ nbrom i media changed input; active low, deglitched. used as a general purpose fiq interrupt during normal operation. it is also used on power up to configure the processor to either boot from the internal boot rom, or from external memory. when low, the chip will boot from the internal boot rom. nextfiq i external active low fast interrupt request input eint[3] i external active high interrupt request input neint[1:2] i two general purpose, active low interrupt inputs power management npwrfl 1 i power fail input; active low, deglitched input to force system into the standby state batok 1 i main battery ok input; falling edge generates a fiq, a low level in the standby state inhibits system start up; deglitched input nextpwr i external power sense; must be driven low if the system is powered by an external source nbatchg 1 i new battery sense; driven low if battery voltage falls below the "no-battery" threshold; it is a deglitched input state control npor i power-on reset input. this signal is not deglitched. when active it completely resets the entire system, including all the rtc registers. upon power-up, the signal must be held active low for a minimum of 100 sec after v dd has set- tled. during normal operation, npor needs to be held low for at least one clock cycle of the selected clock speed (i.e., when running at 13 mhz, the pulse width of npor needs to be > 77 nsec). note that nureset, run/clken, test(0), test(1), pe(0), pe(1), pe(2), drive(0), drive(1), dd(0), dd(1), dd(2), and dd(3) are all latched on the rising edge of npor. run/clken i/o this pin is programmed to either output the run signal or the clken signal. the clkensl bit is used to configure this pin. when run is selected, the pin will be high when the system is active or idle, low while in the standby state. when clken is selected, the pin will only be driven low when in the standby state (for run, see ta bl e 6 ). wakeup 1 i wake up is a deglitched input signal. it must also be held high for at least 125 sec to guarantee its detection. once detected it forces the system into the operating state from the standby state. it is only active when the system is in the standby state. this pin is ignored when the system is in the idle or operating state. it is used to wakeup the system after first power-up, or after software has forced the system into the standby state. wakeup will be ignored for up to two seconds after npor goes high. therefore, the external wakeup logic must be designed to allow it to rise and stay high for at least 125 usec, two seconds after npor goes high. nureset 1 i user reset input; active low deglitched input from user reset button. this pin is also latched upon the rising edge of npor and read along with the input pins ntest[0-1] to force the device into special test modes. nureset does not reset the rtc. function signal name signal description table 4. external signal functions (cont.)
ep7212 16 ds474pp1 dai, codec or ssi2 interface (see ta bl e 5 for pin assignment and direction fol- lowing multiplex- ing) ssiclk i/o dai/codec/ssi2 clock signal ssitxfr i/o dai/codec/ssi2 serial data output frame/synchronization pulse output ssitxda o dai/codec/ssi2 serial data output ssirxda i dai/codec/ssi2 serial data input ssirxfr i/o ssi2 serial data input frame/synchronization pulse dai external clock input adc interface (ssi1) adcclk o serial clock output nadccs o chip select for adc interface adcout o serial data output adcin i serial data input smpclk o sample clock output irda and rs232 interfaces leddrv o infrared led drive output (uart1) phdin i photo diode input (uart1) txd[1-2] o rs232 uart1 and 2 tx outputs rxd[1-2] i rs232 uart1 and 2 rx inputs dsr i rs232 dsr input dcd i rs232 dcd input cts i rs232 cts input lcd dd[0-3] i/o lcd serial display data; pins can be used on power up to read the id of some lcd modules (see tab l e 6 ). cl[1] o lcd line clock cl[2] o lcd pixel clock frm o lcd frame synchronization pulse output m o lcd ac bias drive keyboard & buzzer drive led flasher col[0-7] o keyboard column drives (syscon1) buz o buzzer drive output (syscon1) pd[0]/ ledflsh o led flasher driver ? multiplexed with port d bit 0. this pin can provide up to 4 ma of drive current. function signal name signal description table 4. external signal functions (cont.)
ep7212 ds474pp1 17 general purpose i/o pa[0:7] i/o port a i/o (bit 6 for boot clock option, bit 7 for cl-ps6700 prdy input); also used as keyboard row inputs pb[0]/prdy1 pb[1]/prdy2 pb[2:7] i/o port b i/o. all eight port b bits can be used as gpios. when the pc card1 or 2 control bits in the syscon2 register are de- asserted, pb[0] and pb[1] are available for gpio. when asserted, these port bits are used as the prdy signals for connected cl-ps6700 pc card host adapter devices. pd[0:7] i/o port d i/o pe[0]/ bootsel[0] i/o port e i/o (3 bits only). can be used as general purpose i/o during normal operation. pe[1]/ bootsel[1] i/o during power-on reset, pe[0] and pe[1] are inputs and are latched by the ris- ing edge of npor to select the memory width that the ep7212 will use to read from the boot code storage device (i.e., external 8-bit-wide flash bank). pe[2]/ clksel i/o during power-on reset, pe[2] is latched by the rising edge of npor to select the clock mode of operation (i.e., either the pll or external 13 mhz clock mode). pwm drives drive[0:1] i/o pwm drive outputs. these pins are inputs on power up to determine what polarity the output of the pwm should be when active. otherwise, these pins are always an output (see ta b l e 6 ). fb[0:1] i pwm feedback inputs boundary scan tdi i jtag data in tdo o jtag data out tms i jtag mode select tclk i jtag clock ntrst i jtag async reset test ntest[0:1] i test mode select inputs. these pins are used in conjunction with the power-on latched state of nureset to select between the various device test models. oscillators moscin moscout rtcin rtcout i o i o main 3.6864 mhz oscillator for 18.432 mhz ? 73.728 mhz pll real time clock 32.768 khz oscillator no connects n/c no connects should be left as no connects; do not connect to ground 1. all deglitched inputs are via the 16.384 khz clock. each deglitched signal must be held active for at least two clock periods . therefore, the input signal must be active for at least ~125 s to be detected cleanly. the rtc crystal must be populated for the device to function properly. function signal name signal description table 4. external signal functions (cont.)
ep7212 18 ds474pp1 2.2.2 ssi/codec/dai pin multiplexing 2.2.3 output bi-directional pins ssi2 codec dai direction strength ssiclk pcmclk sclk i/o 1 ssitxfr pcmsync lrck i/o 1 ssitxda pcmout sdout output 1 ssirxda pcmin sdin input ssirxfr p/u* mclk i/o 1 * p/u = use an ~10 k pull-up the selection between ssi2 and the codec is controlled by the state of the sersel bit in syscon2 (see syscon2 system control register 2 ). the choice between the ssi2, codec, and the dai is controlled by the daisel bit in syscon3 (see syscon3 system control register 3 ). table 5. ssi/codec/dai pin multiplexing run the run pin is looped back in to skew the address and data bus from each other. ncas[3:0] the ncas pins are looped back into the ep7212 to be used as the actual clock source for the data to be latched internally. drive [0-1] drive 0 and 1 are looped back in on power up to determine what polarity the output of the pwm should be when active. dd[3:0] dd[3:0] are looped back in on power up to enable the reading of the id of some lcd modules. note: the above output pins are implemented as bi-directional pins to enable the output side of the pad to be monitored and hence provide more accurate control of timing or duration. table 6. output bi-directional pins
ep7212 ds474pp1 19 3. functional description the ep7212 device is a single-chip embedded con- troller designed to be used in low-cost and ultra- low-power applications. operating at 74 mhz, the ep7212 delivers approximately 66 dhrystone 2.1 mips of sustained performance (74 mips peak). this is approximately the same as a 100 mhz pentium-based pc. the ep7212 contains the following functional blocks:  arm720t processor which consists of the fol- lowing functional sub-blocks: - arm7tdmi cpu core (which supports the logic for the thumb instruction set, core debug, enhanced multiplier, jtag, and the embedded ice) running at a dynamically programmable clock speed of 18 mhz, 36 mhz, 49 mhz, or 74 mhz. - memory management unit (mmu) com- patible with the arm710 core (providing address translation and a 64-entry transla- tion lookaside buffer) with added support for windows ce. - 8 kbytes of unified instruction and data cache with a four-way set associative cache controller. - write buffer  38,400 bytes (0x9600) of on-chip sram that can be shared between the lcd controller and general application use.  memory interfaces for up to 6 independent 256 mbyte expansion segments with program- ming wait states.  27 bits of general purpose i/o - multiplexed to provide additional functionality where neces- sary.  digital audio interface (dai) for connection to cd-quality dacs and codecs.  interrupt controller  advanced system state control and power man- agement.  two full-duplex 16550a compatible uarts with 16-byte transmit and receive fifos.  irda sir protocol controller capable of speeds up to 115.2 kbps.  programmable 1-, 2-, or 4-bit-per-pixel lcd controller with 16-level grayscaler.  programmable frame buffer start address, al- lowing a system to be built using only internal sram for memory.  on-chip boot rom programmed with serial load boot sequence.  two 16-bit general purpose timer counters.  a 32-bit real time clock (rtc) and compar- ator.  dedicated led flasher pin driven from the rtc with programmable duty ratio (multi- plexed with a gpio pin).  two synchronous serial interfaces for micro- wire or spi peripherals such as adcs, one sup- porting both the master and slave mode and the other supporting only the master mode.  full jtag boundary scan and embedded ice support.  two programmable pulse-width modulation interfaces.  an interface to one or two cirrus logic cl- ps6700 pc card controller devices to support two pc card slots.  edo dram support (fast page dram is only supported at 13 mhz and 18 mhz. it can inter- face up to two banks of dram. each bank can be up to 256 mbytes in size. the dram inter- face is programmable to be 16-bit or 32-bit wide.
ep7212 20 ds474pp1  oscillator and phase-locked loop (pll) to gen- erate the core clock speeds of 18.432 mhz, 36.864 mhz, 49.152 mhz, and 73.728 mhz from an external 3.6864 mhz crystal, with an alternative external clock input (used in 13 mhz mode).  a low power 32.768 khz oscillator. the ep7212 design is optimized for low power dis- sipation and is fabricated on a fully static 0.25 micron cmos process. it is available in a 256-ball pbga or a 208-pin lqfp package. figure 2 shows a simplified block diagram of the ep7212. all external memory and peripheral de- vices are connected to the 32-bit data bus using the external 28-bit address bus and control signals. 3.1 cpu core the arm720t consists of an arm7tdmi 32-bit risc processor, a unified cache, and a memory management unit (mmu). the cache is four-way set associative with 8-kbytes organized as 512 lines of 4 words. the cache is directly connected to the arm7tdmi, and therefore caches the virtual ad- dress from the cpu. when the cache misses, the mmu translates the virtual address into a physical address. a 64-entry translation lookaside buffer (tlb) is utilized to speed the address translation process and reduce bus traffic necessary to read the page table. the mmu saves power by only trans- lating the cache misses. see the arm720t data sheet for a complete de- scription of the various logic blocks that make up the processor, as well as all internal register infor- mation. 32.768-khz oscillator pll interrupt controller power management ssi1 (adc) lcd controller arm7tdmi cpu core 8-kbyte cache mmu timer counters (2) codec arm720t internal data bus pwm 3.6864 mhz 32.768 khz eint[1-3], fiq, medchg batok, extpwr pwrfl, batchg ports a, b, d (8-bit) port e (3-bit) keybd drivers (0?7) buzzer drive adcclk, adcin, adcout, smpclk, adccs ssiclk, ssitxfr, ssitxda, ssirxda, ssirsfr uart2 irda d[0-31] npor, run, reset, wakeup expclk, word, ncs[0:3], exprdy, write a[0-27], dra[0-12] lcd drive led and photodiode async interface 2 gpio internal address bus 13-mhz input on-chip boot rom async interface 1 on-chip sram 38,400 bytes cl-ps6700 intfc. pb[0:1], ncs[4:5] expansion control uart1 ssi2 epb bridge epb bus rtc flashing led drive ice-jtag test and development write buffer dai state control memory controller lcd dma dc-to-dc figure 2. ep7212 block diagram dram cntrl moe, mwe, nras[0-1], ncas[0-3]
ep7212 ds474pp1 21 3.2 state control the ep7212 supports the following power man- agement states: operating, idle, and standby (see figure 3 ). the normal program execution state is the operating state; this is a full performance state where all of the clocks and peripheral logic are en- abled. the idle state is the same as the operating state with the exception of the cpu clock being halted, and an interrupt or wakeup will return it back to the operating state. the standby state has the lowest power consumption of the three states. by selecting this mode the main oscillator shuts down, leaving only the real time clock and its as- sociated logic powered. it is important when the ep7212 is in standby that all power and ground pins remain connected to power and ground in or- der to have a proper system wake-up. the only state that standby can transition to is the operating state. in the description below, the run/clken pin can be used either for the run functionality, or the clken functionality to allow an external oscilla- tor to be disabled in the 13 mhz mode. either run or clken functionality can be selected according to the state of the clkensl bit in the syscon2 register. table 7 on the following page shows pe- ripheral status in various power management states. 3.2.1 standby state the standby state equates to the system being switched "off" (i.e., no display, and the main oscil- lator is shut down). when the 18.432 ? 73.72 mhz mode is selected, the pll will be shut down. in the 13 mhz mode, if the clkensl bit is set low, then the clken signal will be forced low and can, if re- quired, be used to disable an external oscillator. in the standby state, all the system memory and state is maintained and the system time is kept up- to-date. the pll/on-chip oscillator or external os- cillator is disabled and the system is static, except for the low power watch crystal (32 khz) oscillator and divider chain to the rtc and led flasher. the run signal is driven low, therefore this signal can be used externally in the system to power down other system modules. whenever the ep7212 is in the standby state, the external address and data buses are forced low in- ternally by the run signal. this is done to prevent peripherals that are powered down from draining current. also, the internal peripheral ? s signals get set to their reset state. when first powered, or reset by the npor (power on reset, active low) signal, the ep7212 is forced into the standby state. this is known as a cold re- set, and when leaving the standby state after a cold reset, external wake up is the only way to wake up the device. when leaving the standby state after non-cold reset conditions (i.e., the software has forced the device into the standby state), the tran- sition to the operating state can be caused by a ris- ing edge on the wakeup input signal or by an enabled interrupt. normally, when entering the standby state from the operating state, the soft- ware will leave some interrupt sources enabled. note: the cpu cannot be awakened by the tint, weint, and blint interrupts when in the standby state. typically, software writes to the standby internal memory location to cause the transition from the figure 3. state diagram standby operating idle interrupt or rising wakeup write to standby location, power fail, or user reset i n t e r r u p t write to halt location npor, power fail, or user reset
ep7212 22 ds474pp1 operating state to the standby state. before enter- ing the standby state, if external i/o devices (such as the cl-ps6700s connected to ncs[4] or ncs[5]) are in use, the software must check to ensure that they are idle before issuing the write to the standby state location. before entering the standby state, the software must properly disable the dai. failing to do so will result in higher than expected power consumption in the standby state, as well as unpredictable oper- ation of the dai. the dai can be re-enabled after transitioning back to the operating state. the system can also be forced into the standby state by hardware if the npwrfl or nureset in- puts are forced low. the only exit from the standby state is to the operating state. the system will only transition to the operating state from the standby state under the following conditions: when the npwrfl input pin is high when the nextpwr input pin is low or when the batok input pin is high. this prevents the system from starting when the power supply is inadequate (i.e., the main batteries are low), corresponding to a low level on npwrfl or batok. from the standby state, if the wakeup signal is applied with no clock except the 32 khz clock run- ning, the ep7212 will be initialized into a state where it is ready to start and is waiting for the cpu to start receiving its clock. the cpu will still be held in reset at this point. after the first clock is ap- plied, there will be a delay of about eight clock cy- cles before the cpu is enabled. this delay is to allow the clock to the cpu time to settle. 3.2.1.1 uart in standby state during the standby state, the uarts are disabled and cannot detect any activity (i.e., start bit) on the receiver. if this functionality is required then this can be accomplished in software by the following method: 1) permanently connect the rx pin to one of the active low external interrupt pins. address (w/b) operating idle standby npor reset nureset reset dram control on on selfref off selfref uarts on on off reset reset lcd fifo on on reset reset reset lcd on on off reset reset adc interface on on off reset reset ssi2 interface on on off reset reset dai interface on on off reset reset codec on on off reset reset timers on on off reset reset rtc on on on on on led flasher on on on reset reset dc-to-dc on on off reset reset cpu on off off reset reset interrupt control on on on reset reset pll/clken signal on on off off off table 7. peripheral status in different power management states
ep7212 ds474pp1 23 2) ensure that on entry to the standby state, the chosen interrupt source is not masked, and the uart is enabled. 3) send a preamble that consists of one start bit, 8 bits of zero, and one stop bit. this will cause the ep7212 to wake and execute the enabled in- terrupt vector. the uart will automatically be re-enabled when the processor re-enters the operating state, and the preamble will be received. since the uart was not awake at the start of the preamble, the timing of the sample point will be off-center during the pre- amble byte. however, the next byte transmitted will be correctly aligned. thus, the actual first real byte to be received by the uart will get captured correctly. 3.2.2 idle state if in the operating state, the idle state can be en- tered by writing to a special internal memory loca- tion (halt) in the ep7212. if an interrupt occurs, the ep7212 will return immediately back to the op- erating state and execute the next instruction. the wakeup signal can not be used to exit the idle state. it is only used to exit the standby state. in the idle state, the device functions just like it does when in the operating state. however, the cpu clock is halted while it waits for an event such as a key press to generate an interrupt. the pll (in 18.432 ? 73.728 mhz mode) or the external 13 mhz clock source always remains active in the idle state. 3.2.3 keyboard interrupt for the case of the keyboard interrupt, the follow- ing options are available and are selectable accord- ing to bits 1 and 3 of the syscon2 register (refer to the syscon2 register description for details).  if the kbwen bit (syscon2 bit 3) is set low, then a keypress will cause a transition from a power saving state only if the keyboard inter- rupt is non-masked (i.e., the interrupt mask reg- ister 2 (intmr2 bit 0) is high).  when kbwen is high, a keypress will cause the device to wake up regardless of the state of the interrupt mask register. this is called the ? keyboard direct wakeup ? mode. in this mode, the interrupt request may not get ser- viced. if the interrupt is masked (i.e., the inter- rupt mask register 2 (intmr2 bit 0) is low), the processor simply starts re-executing code from where it left off before it entered the pow- er saving state. if the interrupt is non-masked, then the processor will service the interrupt.  when the kbd6 bit (syscon2 bit 1) is low, all 8 of port a inputs are or ? ed together to pro- duce the internal wakeup signal and keyboard interrupt request. this is the default reset state.  when the kbd6 bit (syscon2 bit 1) is high, only the lowest 6 bits of port a are or ? ed to- gether to produce the internal wakeup signal and keyboard interrupt request. the two most significant bits of port a are available as gpio when this bit is set high. in the case where kbwen is low and the intmr2 bit 0 is low, it will only be possible to wakeup the device by using the external wakeup pin or an- other enabled interrupt source. the keyboard inter- rupt capability allows an os to use either a polled or interrupt-driven keyboard routine, or a combina- tion of both. note: the keyboard interrupt is not deglitched. 3.3 power-up sequence the ep7212 has a power-up sequence that should be followed for proper start up. if any of the below recommended timing sequences are violated, then it is possible that the part may not start-up properly. this could cause the device to get lost and not re- cover without a hard reset.
ep7212 24 ds474pp1 1). upon power, the signal npor must be held ac- tive (low) for a minimum of 100us, after v dd has become settled. 2). after npor goes high, the ep7212 will enter the standby state (and only this state). in this state, the pll is not enabled, and thus the cpu is not en- abled either. the only method that can be used to allow the ep7212 to exit the standby state into the operating state is by the wakeup signal going active (high). note: it is not a requirement to use the nureset signal. if not used, the nureset signal must be high, and it must have gone high prior to npor going high. this is due to the fact that nureset is latched into the device by the rising edge of npor. when nure- set is low on the rising edge of npor, it can force the device into one of its test mode states. 3). after npor goes high, the wakeup signal cannot be detected as going high, until after at least two seconds. after two seconds, the wake- up signal can become active, and it must be high for at least 125us. 4). after the wakeup signal is detected internal- ly, it first goes through a deglitching circuit. this is why is must be active for at least 125us. then the pll gets enabled. wakeup is ignored immedi- ately after waking up the system. it also ignores it while in the idle or operating state. it can constant- ly toggle with no affect on the device. it will only be read again if npor goes low and then high again, or if software has forced the device back into the standby state. 5). a maximum of 250 msec will pass before the cpu becomes enabled and starts to fetch the first instruction. 3.4 resets there are three asynchronous resets to the ep7212: npor, npwrfl and nureset. if any of these are active, a system reset is generated internally. this will reset all internal registers in the ep7212 except the rtc data and match registers. these registers are only cleared by npor allowing the system time to be preserved through a user reset or power fail condition. any reset will also reset the cpu and cause it to start execution at the reset vector when the ep7212 returns to the operating state. internal to the ep7212, three different signals are used to reset storage elements. these are npor, nsysres and nstby. npor is an external signal. nstby is equivalent to the external run signal. npor (power on reset, active low) is the highest priority reset signal. when active (low), it will reset all storage elements in the ep7212. npor active forces nsysres and nstby active. npor will only be active after the ep7212 is first powered up and not during any other resets. npor active will clear all flags in the status register except for the cold reset flag (cldflg) bit (sysflg, bit 15), which is set. nsysres (system reset, active low) is generated internally to the ep7212 if npor, npwrfl, or nureset are active. it is the second highest prior- ity reset signal, used to asynchronously reset most internal registers in the ep7212. nsysres active forces nstby and run low. nsysres is used to reset the ep7212 and force it into the standby state with no co-operation from software. the cpu is also reset. the nstby and run signals are high when the ep7212 is in the operating or idle states and low when in the standby state. the main system clock is valid when nstby is high. the nstby signal will disable any peripheral block that is clocked from the master clock source (i.e., everything ex- cept for the rtc). in general, a system reset will clear all registers and nstby will disable all pe- ripherals that require a main clock. the following peripherals are always disabled by a low level on nstby: two uarts and irda sir encoder, timer counters, telephony codec, and the two ssi inter-
ep7212 ds474pp1 25 faces. in addition, when in the standby state, the lcd controller and pwm drive are also disabled. when operating from an external 13 mhz oscilla- tor which has become disabled in the standby state by using the clken (syscon, bit 13) signal (i.e., with clkensl = 0), the oscillator must be stable within 0.125 sec from the rising edge of the clken signal. 3.5 clocks there are two clocking modes for the ep7212. ei- ther an external clock input can be used or the on- chip pll. the clock source is selected by a strap- ping option on port e, pin 2 (pe[2]). if pe[2] is high at the rising edge of npor (i.e., upon power- up), the external clock mode is selected. if pe[2] is low, then the on-chip pll mode is selected. after power-up, pe[2] can be used as a gpio. the ep7212 device contains several separate sec- tions of logic, each clocked according to its own clock frequency requirements. when the ep7212 is in external clock mode, the actual frequencies at the peripherals will be different than when in pll mode. see each peripheral device section for more details. the section below describes the clocking for both the arm720t and address/data bus. 3.5.1 on-chip pll the arm720t clock can be programmed to 18.432 mhz, 36.864 mhz, 49.152 mhz, or 73.728 mhz with the pll running at twice the highest possible cpu clock frequency (147.456 mhz). the pll uses an external 3.6864 mhz crystal. by chip default, the on-chip pll is used and configured such that the arm720t and address/data buses run at 18.432 mhz. when the clock frequency is selected to be 36 mhz, both the arm720t and the address/data buses are clocked at 36 mhz. when the clock fre- quency is selected higher than 36 mhz, only the arm720t gets clocked at this higher speed. the address/data will be fixed at 36 mhz. the clock frequency used is selected by programming the clkctl[1:0] bits in the syscon3 register. the clock frequency selection does not effect the epb (external peripheral bus). therefore, all the periph- eral clocks are fixed, regardless of the clock speed selected for the arm720t. note: after modifying the clkctl[1:0] bits, the next instruction should always be a ? nop ? . 3.5.1.1 characteristics of the pll interface when connecting a crystal to the on-chip pll in- terface pins (i.e. moscin and moscout), the crystal and circuit should conform to the following requirements:  the 3.6864 mhz frequency should be created by the crystals fundamental tone (i.e., it should be a fundamental mode crystal).  a start-up resistor is not necessary, since one is provided internally.  start-up loading capacitors may be placed on each side of the external crystal and ground. their value should be in the range of 10 pf. however, their values should be selected based upon the crystal specifications. the total sum of the capacitance of the traces between the ep7212 ? s clock pins, the capacitors, and the crystal leads should be subtracted from the crystal ? s specifications when determining the values for the loading capacitors.  the crystal should have a maximum 100 ppm frequency drift over the chip ? s operating tem- perature range. alternatively, a digital clock source can be used to drive the moscin pin of the ep7212. with this approach, the voltage levels of the clock source should match that of the v dd supply for the ep7212 ? s pads (i.e. the supply voltage level used to drive all of the non-v dd core pins on the ep7212).
ep7212 26 ds474pp1 the output clock pin (i.e., moscout) should be left floating. 3.5.2 external clock input (13 mhz) an external 13 mhz crystal oscillator can be used to drive all of the ep7212. when selected the arm720t and the address/data buses both get clocked at 13 mhz. the fixed clock sources to the various peripherals will have different frequencies than in the pll mode. in this configuration, the pll will not be used at all. note: when operating at 13 mhz, the clkctl[1:0] bits should not be changed from their default value of ? 00 ? . 3.5.3 dynamic clock switching when in the pll clocking mode the clock frequency used for the cpu and the bus- es is controlled by programming the clkctl[1:0] bits in the syscon3 register. when this occurs, the state controller switches from the current to the new clock frequency as soon as possible without causing a glitch on the clock signals. the glitch- free clock switching logic waits until the clock that is currently in use and the newly programmed clock source are both low, and then switches from the previous clock to the new clock without a glitch on the clocks. figure 4. clken timing entering the standby state 13 mhz clken expclk (internal) run interrupt / wakeup clken figure 5. clken timing entering the standby state
ep7212 ds474pp1 27 3.6 interrupt controller when unexpected events arise during the execution of a program (i.e., interrupt or memory fault) an ex- ception is usually generated. when these excep- tions occur at the same time, a fixed priority system determines the order in which they are handled. table 8 shows the priority order of all the excep- tions. the ep7212 interrupt controller has two interrupt types: interrupt request (irq) and fast interrupt re- quest (fiq). the interrupt controller has the ability to control interrupts from 22 different fiq and irq sources. of these, seventeen are mapped to the irq input and five sources are mapped to the fiq input. fiqs have a higher priority than irqs. if two inter- rupts are received from within the same group (irq or fiq), the order in which they are serviced must be resolved in software. the priorities are listed in table 9 . all interrupts are level sensitive; that is, they must conform to the following sequence. 1) the interrupting device (either external or in- ternal) asserts the appropriate interrupt. 2) if the appropriate bit is set in the interrupt mask register, then either a fiq or an irq will be as- serted by the interrupt controller. (a descrip- tion for each bit in this register can be found in intsr1 interrupt status register 1 ). 3) if interrupts are enabled the processor will jump to the appropriate address. 4) interrupt dispatch software reads the interrupt status register to establish the source(s) of the interrupt and calls the appropriate interrupt ser- vice routine(s). 5) software in the interrupt service routine will clear the interrupt source by some action spe- cific to the device requesting the interrupt (i.e., reading the uart rx register). the interrupt service routine may then re-enable in- terrupts, and any other pending interrupts will be serviced in a similar way. alternately, it may return to the interrupt dispatch code, which can check for any more pending interrupts and dispatch them ac- cordingly. the ? end of interrupt ? type interrupts are latched. all other interrupt sources (i.e., exter- nal interrupt source) must be held active until its re- spective service routine starts executing. see ?end of interrupt locations? on page 83 for more de- tails. table 9 , table 10 , and table 11 show the names and allocation of interrupts in the ep7212. 3.6.1 interrupt latencies in different states 3.6.1.1 operating state the arm720t processor checks for a low level on its fiq and irq inputs at the end of each instruc- tion. the interrupt latency is therefore directly re- lated to the amount of time it takes to complete execution of the current instruction when the inter- rupt condition is detected. first, there is a one to two clock cycle synchronization penalty. for the case where the ep7212 is operating at 13 mhz with a 16-bit external memory system, and instruc- tion sequence stored in one wait state flash memory, the worst-case interrupt latency is 251 clock cycles. this includes a delay for cache line fills for instruction prefetches, and a data abort occurring at the end of the ldm instruction, and the ldm being non-quad word aligned. in addi- tion, the worst-case interrupt latency assumes that lcd dma cycles to support a panel size of 320 x priority exception highest reset . data abort .fiq .irq .prefetch abort lowest undefined instruction, software interrupt table 8. exception priority handling
ep7212 28 ds474pp1 interrupt bit in intmr1 and intsr1 name comment fiq 0 extfiq external fast interrupt input (nextfiq pin) fiq 1 blint battery low interrupt fiq 2 weint tick watchdog expired interrupt fiq 3 mcint media changed interrupt irq 4 csint codec sound interrupt irq 5 eint1 external interrupt input 1 (neint[1] pin) irq 6 eint2 external interrupt input 2 (neint[2] pin) irq 7 eint3 external interrupt input 3 (eint[3] pin) irq 8 tc1oi tc1 underflow interrupt irq 9 tc2oi tc2 underflow interrupt irq 10 rtcmi rtc compare match interrupt irq 11 tint 64 hz tick interrupt irq 12 utxint1 internal uart1 transmit fifo empty interrupt irq 13 urxint1 internal uart1 receive fifo full interrupt irq 14 umsint internal uart1 modem status changed interrupt irq 15 sseoti synchronous serial interface 1 end of transfer interrupt table 9. interrupt allocation in the first interrupt register interrupt bit in intmr2 and intsr2 name comment irq 0 kbdint key press interrupt irq 1 ss2rx master / slave ssi 16 bytes received irq 2 ss2tx master / slave ssi 16 bytes transmitted irq 12 utxint2 uart2 transmit fifo empty interrupt irq 13 urxint2 uart2 receive fifo full interrupt table 10. interrupt allocation in the second interrupt register interrupt bit in intmr3 and intsr3 name comment fiq 0 daiint dai interface interrupt table 11. interrupt allocation in the third interrupt register
ep7212 ds474pp1 29 240 at 4 bits-per-pixel, 60 hz refresh rate, is in progress. this would give a worst-case interrupt latency of about 19.3 3.6.1.2 idle state when leaving the idle state as a result of an inter- rupt, the cpu clock is restarted after approximately two clock cycles. however, there is still potentially up to 20 3.6.1.3 standby state in the standby state, the latency will depend on whether the system clock is shut down and if the fastwake bit in the syscon3 register is set. if the system is configured to run from the internal pll clock, then the pll will always be shut down when in the standby state. in this case, if the fastwake bit is cleared, then there will be a la- tency of between 0.125 sec to 0.25 sec. if the fastwake bit is set, then there will be a latency of between 250 ? s signals get set to their reset state. table 12 summarizes the five external interrupt sources and the effect they have on the processor interrupts. 3.7 ep7212 boot rom the 128 bytes of on-chip boot rom contain an in- struction sequence that initializes the device and then configures uart1 to receive 2048 bytes of serial data that will then be placed in the on-chip sram. once the download is complete, execution jumps to the start of the on-chip sram. this would allow, for example, code to be downloaded to program system flash during a product ? s manufacturing process. see appendix a: boot code for details of the rom boot code with com- ments to describe the stages of execution. selection of the boot rom option is determined by the state of the nmedchg pin during a power on reset. if nmedchg is high while npor is active, then the ep7212 will boot from an external memo- ry device connected to cs[0] (normal boot mode).
ep7212 30 ds474pp1 if nmedchg is low, then the boot will be from the on-chip rom. note that in both cases, following the de-assertion of power on reset, the ep7212 will be in the standby state and requires a low-to-high transition on the external wakeup pin in order to actually start the boot sequence. the effect of booting from the on-chip boot rom is to reverse the decoding for all chip selects inter- nally. table 13 shows this decoding. the control signal for the boot option is latched by npor, which means that the remapping of addresses and bus widths will continue to apply until npor is as- serted again. after booting from the boot rom, the contents of the boot rom can be read back from address 0x00000000 onwards, and in normal state of operation the boot rom contents can be read back from address range 0x70000000. 3.8 memory and i/o expansion interface six separate linear memory or expansion segments are decoded by the ep7212, two of which can be re- served for two pc card cards, each interfacing to a separate single cl-ps6700 device. each segment is 256 mbytes in size. two additional segments (i.e., in addition to these six) are dedicated to the on-chip sram and the on-chip rom. the on-chip rom space is fully decoded, and the sram space is fully decoded up to the maximum size of the vid- eo frame buffer programmed in the lcdcon reg- ister (128 kbytes). beyond this address range the sram space is not fully decoded (i.e., any access- es beyond 128 kbyte range get wrapped around to within 128 kbyte range). any of the six segments are configured to interface to a conventional sram-like interface, and can be individually pro- grammed to be 8-, 16-, or 32-bits wide, to support page mode access, and to execute from 1 to 8 wait states for non-sequential accesses and 0 to 3 for burst mode accesses. the zero wait state sequential access feature is designed to support burst mode interrupt pin input state operating state latency idle state latency standby state latency nextfiq not deglitched; must be active for 20 s to be detected worst-case latency of 20 sec worst-case 20 sec: if only single cycle instructions, less than 1 sec including pll / osc. settling time, approx. 0.25 sec when fastwake = 0, or approx. 500 sec when fastwake = 1, or = idle state if in 13 mhz mode with clkensl set neint1 ? 2 not deglitched worst-case latency of 20 sec as above as above eint3 not deglitched worst-case latency of 20 sec as above as above nmedchg deglitched by 16 khz clock; must be active for at least 125 s to be detected worst-case latency of 141 sec worst-case 80 sec: if only single cycle instructions, 125 sec as above (note difference if in 13 mhz mode with clkensl set) table 12. external interrupt source latencies address range chip select 0000.0000 ? 0fff.ffff cs[7] (internal only) 1000.0000 ? 1fff.ffff cs[6] (internal only) 2000.0000 ? 2fff.ffff ncs[5] 3000.0000 ? 3fff.ffff ncs[4] 4000.0000 ? 4fff.ffff ncs[3] 5000.0000 ? 5fff.ffff ncs[2] 6000.0000 ? 6fff.ffff ncs[1] 7000.0000 ? 7fff.ffff ncs[0] table 13. chip select address ranges after boot from on-chip boot rom
ep7212 ds474pp1 31 roms. for writable memory devices which use the nmwe pin, zero wait state sequential accesses are not permitted and one wait state is the minimum which should be programmed in the sequential field of the appropriate memcfg register. bus cy- cles can also be extended using the exprdy input signal. page mode access is accomplished by setting sqaen = 1, which enables accesses of the form one random address followed by three sequential addresses, etc., while keeping ncs asserted. these sequential bursts can be up to four words long be- fore ncs is released to allow dma and refreshes to take place. this can significantly improve bus bandwidth to devices such as roms which support page mode. when sqaen = 0, all accesses to memory are by random access without ncs being de-asserted between accesses. again ncs is de-as- serted after four consecutive accesses to allow dmas. bits 5 and 6 of the syscon2 register independent- ly enable the interfaces to the cl-ps6700 (pc card slot drivers). when either of these interfaces are en- abled, the corresponding chip select (ncs4 and/or ncs5) becomes dedicated to that cl-ps6700 inter- face. the state of syscon2 bit 5 determines the function of chip select ncs4 (i.e., cl-ps6700 in- terface or standard chip select functionality); bit 6 controls ncs5 in a similar way. there is no interac- tion between these bits. for applications that require a display buffer small- er than 38,400 bytes, the on-chip sram can be used as the frame buffer. the width of the boot device can be chosen by se- lecting values of pe[1] and pe[0] during power on reset. the inputs in table 14 are latched by the ris- ing edge of npor to select the boot option. 3.9 dram controller with edo support the dram controller in the ep7212 provides all the connections to directly interface to up to two banks of (edo) dram, and the width of the mem- ory interface is programmable to 16-bits or 32-bits. both banks have to be of the same width. the 16/32-bit dram width selection is made based on bit 2 of the syscon2 register. each of the two banks supported can be up to 256 mbytes in size. two ras lines and four cas lines are provided, with one cas line per byte lane. the dram con- troller does not support device size programmabil- ity. therefore, if two banks are implemented and dram devices are used, a bank smaller than 256 mbytes would be created leading to a segmented memory map. each segmented bank will be sepa- rated by 256 mbytes. segments that are smaller than the bank size will repeat within the bank. ta- ble 15. physical to dram address mapping shows the mapping of the physical address to dram row and column addresses. this mapping has been organized to support any dram device size from 4 mbits to 1 gbits with a square row and column configuration (i.e., the number of column addresses is equal to the number of row addresses). if a non-square dram is used, further fragmenta- tion of the memory map will occur, however the smallest contiguous segment will always be 1 mbyte. with proper mapping of pages/sections by the mmu, one can create contiguous memory blocks. on boot-up, the dram controller is configured for operation with an 18.432 mhz internal bus speed, and therefore, can support either fast page mode or edo dram. in this case, the read data from the dram is latched within the ep7212 on the rising edge of the ncas output strobes. the dram must pe[1] pe[0] boot block (ncs0) 0 0 32-bit 0 1 8-bit 1 0 16-bit 1 1 undefined table 14. boot options
ep7212 32 ds474pp1 not have an access time greater than 70 ns in order to meet the 18 mhz timing requirements. when the internal bus is operating at 36.864 mhz (i.e., for cpu clock frequencies of 36.864, 49.152, or 73.728 mhz), the dram controller will only op- erate with edo dram. when operating at 36 mhz, the edo dram must not have an access time greater than 50 ns. the dram cycle timings are adjusted to take advantage of the additional per- formance available from fast edo dram. in edo mode, the ep7212 design relies on the dram data being driven to be available on the external data bus during the entire high phase of the ncas signal so that it can be latched towards the end of the cy- cle. in fast page mode, the data should be latched at the rising edge of ncas. it is not possible to use the ep7212 with fast page mode dram at operat- ing frequencies of 36 mhz or higher. the dram controller breaks all sequential access, so that the minimum page sizes defined can be sup- ported. all of the possible page sizes are multiples of the minimum page size, so by breaking up ac- cesses on minimum page sizes by default, all ac- cesses crossing larger page boundaries are broken up. table 16 dram address mapping for a 32-bit dram memory system shows the address map- ping for various dram ? s with square and non- square row and address inputs. this assumes two x 16 devices are connected to each ras line with 32-bit wide dram operation selected. this map- ping is then repeated every 256 mbytes for each an example of the dram connections for a typical system can be found in figure 12. a maximum ep7212 based system on page 52. dram address pins dram column x16 mode dram column x32 mode dram row x16 mode dram row x32 mode 7212 pin name 0 a1 1 1. this bit will be generated by the dram controller. a2 a9 a10 a[27]/dra[0] 1 a2 a3 a10 a11 a[26]/dra[1] 2 a3 a4 a11 a12 a[25]/dra[2] 3 a4 a5 a12 a13 a[24]/dra[3] 4 a5 a6 a13 a14 a[23]/dra[4] 5 a6 a7 a14 a15 a[22]/dra[5] 6 a7 a8 a15 a16 a[21]/dra[6] 7 a8 a9 a16 a17 a[20]/dra[7] 8 a18 a19 a17 a18 a[19]/dra[8] 9 a20 a21 a19 a20 a[18]/dra[9] 10 a22 a23 a21 a22 a[17]/dra[10] 11 a24 a25 a23 a24 a[16]/dra[11] 12 a26 a27 a25 a26 a[15]/dra[12] table 15. physical to dram address mapping
ep7212 ds474pp1 33 dram bank. the placeholder ? n ? below is equal to 0xc + bank number (i.e., 0xc for bank 0, 0xd for bank 1). the dram controller contains a programmable re- fresh counter. the refresh rate is controlled using the dram refresh period register (drfpr). the 16/32-bit dram selection is made based on bit 2 of the syscon2 register. both banks must have the same width. syscon2 0x8000 1100 bit 2 (dramsz) 0 = 32-bit dram 1 = 16-bit dram the default is 32-bit width, since the syscon2 register is reset to all zeros on power-up. 3.10 cl-ps6700 pc card controller interface two of the expansion memory areas are dedicated to supporting up to two cl-ps6700 pc card con- troller devices. these are selected by ncs4 and ncs5 (must first be enabled by bits 5 and 6 of syscon2). for efficient, low power operation, both address and data are carried on the lower 16 bits of the ep7212 data bus. accesses are initiated by a write or read from the area of memory allocat- ed for ncs4 or ncs5. the memory map within each of these areas is segmented to allow different types of pc card accesses to take place, for at- tribute, i/o, and common memory space. the cl- ps6700 internal registers are memory mapped within the address space as shown in table 17 . note: due to the operating speed of the cl- ps6700, this interface is supported only for processor speeds of 13 and 18 mhz. ep7212 size address configuration total size of bank address range of segment(s) size of segment(s) 4 mbit 9 row x 9 column 0.5 mbyte n000.0000 ? n007.ffff 0.5 mbyte 16 mbit 10 row x 10 column 2 mbytes n000.0000 ? n01f.ffff 2 mbytes 16 mbit 12 row x 8 column 2 mbytes n000.0000 ? n003.ffff n008.0000 ? n00b.ffff n020.0000 ? n023.ffff n028.0000 ? n02b.ffff n080.0000 ? n083.ffff n088.0000 ? n08b.ffff n0a0.0000 ? n0a3.ffff n0a8.0000 ? n0ab.ffff 256 kbytes 256 kbytes 256 kbytes 256 kbytes 256 kbytes 256 kbytes 256 kbytes 256 kbytes 64 mbit 11 row x 11 column 8 mbytes n000.0000 ? n07f.ffff 8 mbytes 64 mbit 13 row x 9 column 8 mbytes n000.0000 ? n00f.ffff n020.0000 ? n02f.ffff n080.0000 ? n08f.ffff n0a0.0000 ? n0af.ffff n200.0000 ? n20f.ffff n220.0000 ? n22f.ffff n280.0000 ? n28f.ffff n2a0.0000 ? n2af.ffff 1 mbyte 1 mbyte 1 mbyte 1 mbyte 1 mbyte 1 mbyte 1 mbyte 1 mbyte 256 mbit 12 row x 12 column 32 mbytes n000.0000 ? n1ff.ffff 32 mbytes 1 gbit 13 row x 13 column 128 mbytes n000.0000 ? n7ff.ffff 128 mbytes table 16. dram address mapping when connected to an external 32-bit dram memory system
ep7212 34 ds474pp1 a complete description of the protocol and ac tim- ing characteristics can be found in the cl-ps6700 data sheet. a transaction is initiated by an access to the ncs4 or ncs5 area. the chip select is asserted, and on the first clock, the upper 10 bits of the pc card address, along with 6 bits of size, space, and slot information are put out onto the lower 16 bits of the ep7212 ? s data bus. only word (i.e., 4-byte) and single-byte accesses are supported, and the slot field is hardcoded to 11, since the slot field is de- fined as a ? reserved field ? by the cl-ps6700. the chip selects are used to select the device to be ac- cessed. the space field is made directly from the a26 and a27 cpu address bits, according to the decode shown in table 18 . the size field is forced to 11 if a word access is required, or to 00 if a byte access is required. this avoids the need to config- ure the interface after a reset. on the second clock cycle, the remaining 16 bits of the pc card address are multiplexed out onto the lower 16 bits of the data bus. if the transaction selected is a cl-ps6700 register transaction, or a write to the pc card (as- suming there is space available in the cl-ps6700 ? s internal write buffer) then the access will continue on the following two clock cycles. during these following two clock cycles the upper and lower halves of the word to be read or written will be put onto the lower 16 bits of the main data bus. the ? ptype ? signal on the cl-ps6700s should be connected to the ep7212 ? s write output pin. during pc card accesses, the polarity of this pin changes, and it becomes low to signify a write and high to signify a read. it is valid with the first half word of the address. during the second half word of the address, it is always forced high to indicate to the cl-ps6700 that the ep7212 has initiated ei- ther the write or read. the prdy signals from each of the two cl- ps6700 devices are connected to port b bits 0 and 1, respectively. when the pc card1 or pc card2 control bits in the syscon2 register are de-asserted, these port bits are available for gpio. when asserted, these port bits are used as the access type addresses for cl-ps6700 interface 1 addresses for cl-ps6700 interface 2 attribute 0x40000000 ? 0x43ffffff 0x50000000 ? 0x53ffffff i/o 0x44000000 ? 0x47ffffff 0x54000000 ? 0x57ffffff common memory 0x48000000 ? 0x4bffffff 0x58000000 ? 0x5bffffff cl-ps6700 registers 0x4c000000 ? 0x4fffffff 0x5c000000 ? 0x5fffffff table 17. cl-ps6700 memory map space field value pc card memory space 00 attribute 01 i/o 10 common memory 11 cl-ps6700 registers table 18. space field decoding
ep7212 ds474pp1 35 prdy signals. when the prdy signal is de-assert- ed (i.e., low), it indicates that the cl-ps6700 is busy accessing its card. if a pc card access is at- tempted while the device is busy, the prdy signal will cause the ep7212 ? s cpu to be stalled. the ep7212 ? s cpu will have to wait for the card to be- come available. dma transfers to the lcd can still continue in the background during this period of time (as described below). the ep7212 can access the registers in the cl-ps6700, regardless of the state of the prdy signal. if the ep7212 needs to access the pc card via the cl-ps6700, it waits until the prdy signal is high before initiating a transfer request. once a request is sent, the prdy signal indicates if data is available. in the case of a pc card write, writes can be posted to the cl-ps6700 device, with the same timing as cl-ps6700 internal register writes. writes will normally be completed by the cl-ps6700 device independent of the ep7212 processor activity. if a posted write times out, or fails to complete for any other reason, then the cl-ps6700 will issue an in- terrupt (i.e., a wr_fail interrupt). in the case where the cl-ps6700 write buffer is already full, the prdy signal will be de-asserted (i.e., driven low) and the transaction will be stalled pending an available slot in the buffer. in this case, the ep7212 ? s cpu will be stalled until the write can be posted successfully. while the prdy signal is de- asserted, the chip select to the cl-ps6700 will be de-asserted and the main bus will be released so that dma transfers to the lcd controller can con- tinue in the background. in the case of a pc card read, the prdy signal from the cl-ps6700 will be de-asserted until the read data is ready. at this point, it will be reasserted and the access will be completed in the same way as for a register access. in the case of a byte access, only one 16-bit data transfer will be required to complete the access. while the prdy signal is de- asserted, the chip select to the cl-ps6700 will be de-asserted, and the main bus will be released so that dma transfers to the lcd controller can con- tinue in the background. the ep7212 will re-arbitrate for control of the bus when the prdy signal is reasserted to indicate that the read or write transaction can be completed. the cpu will always be stalled until the pc card ac- cess is completed. a card read operation may be split into a request cycle and a data cycle, or it may be combined into a single request/data transfer cycle. this depends on whether the data requested from the card is available in the prefetch buffer (internal to the cl- ps6700). the request portion of the cycle, for a card read, is similar to the request phase for a card write (de- scribed above). if the requested data is available in the prefetch buffer, the cl-ps6700 asserts the prdy signal before the rising edge of the third clock and the ep7212 continues the cycle to read the data. otherwise, the prdy signal is de-assert- ed, and the request cycle is stalled. the ep7212 may then allow the dma address generator to gain control of the bus, to allow lcd refreshes to con- tinue. when the cl-ps6700 is ready with the data, it asserts the prdy signal. the ep7212 then arbi- trates for the bus and, once the request is granted, the suspended read cycle is resumed. the ep7212 resumes the cycle by asserting the appropriate chip select, and data is transferred on the next two clocks if a word read (one clock if a byte read). there is no support within the ep7212 for detecting time-outs. the cl-ps6700 device must be pro- grammed to force the cycle to be completed (with invalid data for a read) and then generate an inter- rupt if a read or write access has timed out (i.e., rd_fail or wr_fail interrupt). the system software can then determine which access was not successfully completed by reading the status regis- ters within the cl-ps6700. the cl-ps6700 has support for dma data trans- fers. however, dma is supported only by software
ep7212 36 ds474pp1 emulation because the dma address generator built into the ep7212 is dedicated to the lcd con- troller interface. if dma is enabled within the cl- ps6700, it will assert its pdreq signal to make a dma request. this can be connected to one of the ep7212 ? s external interrupts and be used to inter- rupt the cpu so that the software can service the dma request under program control. each of the cl-ps6700 devices can generate an in- terrupt pirq. since the pirq signal is an open drain on the cl-ps6700 devices, two cl-ps6700 devices may be wired or ? ed to the same interrupt. the circuit can then be connected to one of the ep7212 ? s active low external interrupt sources. on the receipt of an interrupt, the cpu can read the in- terrupt status registers on the cl-ps6700 devices to determine the cause of the interrupt. all transactions are synchronized to the expclk output from the ep7212 in 18.432 mhz mode or the external 13 mhz clock. the expclk should be permanently enabled, by setting the excken bit in the syscon1 register, when the cl-ps6700 is used. the reason for this is that the pc card in- terface and cl-ps6700 internal write buffers need to be clocked after the ep7212 has completed its bus cycles. a gpio signal from the ep7212 can be connected to the psleep pin of the cl-ps6700 devices to al- low them to be put into a power saving state before the ep7212 enters the standby state. it is essential that the software monitor the appropriate status registers within the cl-ps6700s to ensure that there are no pending posted bus transactions before the standby state is entered. failure to do this will result in incomplete pc card accesses. 3.11 endianness the ep7212 uses a little endian configuration for internal registers. however, it is possible to con- nect the device to a big endian external memory system. the big-endian / little-endian bit in the arm720t control register sets whether the ep7212 treats words in memory as being stored in big endian or little endian format. memory is viewed as a linear collection of bytes numbered up- wards from zero. bytes 0 to 3 hold the first stored word, bytes 4 to 7 the second, and so on. in the little endian scheme, the lowest numbered byte in a word is considered to be the least significant byte of the word and the highest numbered byte is the most significant. byte 0 of the memory system should be connected to data lines 7 through 0 (d[7:0]) in this scheme. in the big endian scheme the most signifi- cant byte of a word is stored at the lowest numbered byte, and the least significant byte is stored at the highest numbered byte. therefore, byte 0 of the memory system should be connected to data lines 31 through 24 (d[31:24]). load and store are the only instructions affected by the endianness. tables 19 and 20 demonstrate the behavior of the ep7212 in big and little endian mode, including the effect of performing non-aligned word accesses. the register definition section of this specification defines the behavior of the internal ep7212 regis- ters in the big endian mode in more detail. for fur- ther information, refer to arm application note 61 , big and little endian byte addressing . 3.12 internal uarts (two) and sir encoder the ep7212 contains two built-in uarts that of- fers similar functionality to national semiconduc- tor ? s 16c550a device. both uarts can support bit rates of up to 115.2 kbits/s and include two 16- byte fifos: one for receive and one for transmit. one of the uarts (uart1) supports the three modem control input signals cts, dsr, and dcd. the additional ri input, and rts and dtr output modem control lines are not explicitly supported but can be implemented using gpio ports in the ep7212. uart2 has only the rx and tx pins.
ep7212 ds474pp1 37 address (w/b) data in memory (as seen by the ep7212) byte lanes to memory / ports / registers r0 contents big endian memory little endian memory 7:0 15:8 23:16 31:24 7:0 15:8 23: 16 31: 24 big endian little endian word + 0 (w) 11223344 44 33 22 11 44 33 22 11 11223344 11223344 word + 1 (w) 11223344 44 33 22 11 44 33 22 11 44112233 44112233 word + 2 (w) 11223344 44 33 22 11 44 33 22 11 33441122 33441122 word + 3 (w) 11223344 44 33 22 11 44 33 22 11 22334411 22334411 word + 0 (h) 11223344 44 33 22 11 44 33 22 11 00001122 00003344 word + 1 (h) 11223344 44 33 22 11 44 33 22 11 22000011 44000033 word + 2 (h) 11223344 44 33 22 11 44 33 22 11 00003344 00001122 word + 3 (h) 11223344 44 33 22 11 44 33 22 11 44000033 22000011 word + 0 (b) 11223344 dc dc dc 11 44 dc dc dc 00000011 00000044 word + 1 (b) 11223344 dc dc 22 dc dc 33 dc dc 00000022 00000033 word + 2 (b) 11223344 dc 33 dc dc dc dc 22 dc 00000033 00000022 word + 3 (b) 11223344 44 dc dc dc dc dc dc 11 00000044 00000011 note: dc = don ? t care table 19. effect of endianness on read operations address (w/b) register contents byte lanes to memory / ports / registers big endian memory little endian memory 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 word + 0 (w) 11223344 44 33 22 11 44 33 22 11 word + 1 (w) 11223344 44 33 22 11 44 33 22 11 word + 2 (w) 11223344 44 33 22 11 44 33 22 11 word + 3 (w) 11223344 44 33 22 11 44 33 22 11 word + 0 (h) 11223344 44 33 44 33 44 33 44 33 word + 1 (h) 11223344 44 33 44 33 44 33 44 33 word + 2 (h) 11223344 44 33 44 33 44 33 44 33 word + 3 (h) 11223344 44 33 44 33 44 33 44 33 word + 0 (b) 11223344 44 44 44 44 44 44 44 44 word + 1 (b) 11223344 44 44 44 44 44 44 44 44 word + 2 (b) 11223344 44 44 44 44 44 44 44 44 word + 3 (b) 11223344 44 44 44 44 44 44 44 44 note: bold indicates active byte lane. table 20. effect of endianness on write operations
ep7212 38 ds474pp1 uart operation and line speeds are controlled by the ublcr1 (uart bit rate and line control). three interrupts can be generated by uart1: rx, tx, and modem status interrupts. only two can be generated by uart2: rx and tx. the rx inter- rupt is asserted when the rx fifo becomes half full or if the fifo is non-empty for longer than three character length times with no more charac- ters being received. the tx interrupt is asserted if the tx fifo buffer reaches half empty. the mo- dem status interrupt for uart1 is generated if any of the modem status bits change state. framing and parity errors are detected as each byte is received and pushed onto the rx fifo. an overrun error generates an rx interrupt immediately. all error bits can be read from the 11-bit wide data register. the fifos can also be programmed to be one byte depth only (i.e., like a conventional 16450 uart with double buffering). the ep7212 also contains an irda (infrared data association) sir protocol encoder as a post-pro- cessing stage on the output of uart1. this encod- er can be optionally switched into the tx and rx signals of uart1, so that these can be used to drive an infrared interface directly. if the sir pro- tocol encoder is enabled, the uart txd1 line is held in the passive state and transitions of the rxd1 line will have no effect. the irda output pin is leddrv, and the input from the photodiode is phdin. modem status lines will cause an interrupt (which can be masked) irrespective of whether the sir interface is being used. both the uarts operate in a similar manner to the industry standard 16c550a. when cts is deas- serted on the uart, the uart does not stop shift- ing the data. it relies on software to take appropriate action in response to the interrupt gen- erated. baud rates supported for both the uarts are de- pendent on frequency of operation. when operat- ing from the internal pll, the interface supports various baud rates from 115.2 kbits/s downwards. the master clock frequency is chosen so that most of the required data rates are obtainable exactly. when operating with a 13.0 mhz external clock source, the baud rates generated will have a slight error, which is less than or equal to 0.75%. the rates (all measured in kbits/s) obtainable from the 13 mhz clock include: 9.6, 19.2, 38, 58, and 115.2. see ubrlcr1-2 uart1-2 bit rate and line con- trol registers for full details of the available bit rates in the 13 mhz mode. 3.13 serial interfaces in addition to the two uarts, the ep7212 offers the following serial interfaces shown in table 21 . the inputs / outputs of three of the serial interfaces (dai, codec, and ssi2) are multiplexed onto a sin- gle set of external interface pins. if the daisel bit of syscon3 is low, then either ssi2 or the codec interface will be selected to connect to the external pins. when bit 0 of syscon2 (sersel) is high, then the codec is connected to the external pins, when low the master / slave ssi2 is connected to these pins. when the daisel bit is set high, the dai interface is connected to the external pins. on power up, both the daisel and sersel bits are reset low, thus the master / slave ssi2 will be con- nected to these pins (and configured for slave mode operation to avoid external drive clashes). table 22 contains pin definition information for the three multiplexed interfaces. the internal names given to each of the three inter- faces are unique to help differentiate them from each other. the sections below that describe each of the three interfaces will use their respective unique internal pin names for clarity.
ep7212 ds474pp1 39 3.13.1 codec sound interface the codec interface allows direct connection of a telephony type codec to the ep7212. it provides all the necessary clocks and timing pulses. it also per- forms a parallel to serial conversion or vice versa on the data stream to or from the external codec de- vice. the interface is full duplex and contains two separate data fifos (16 deep by 8-bits wide, one for the receive data, another for the transmit data). data is transferred to or from the codec at 64 kbits/s. the data is either written to or read from the appropriate 16-byte fifo. if enabled, a codec interrupt (csint) will be generated after every 8 bytes are transferred (fifo half full/empty). this means the interrupt rate will be every 1 msec, with a latency of 1 msec. transmit and receive modes are enabled by assert- ing high both the cdenrx and cdentx codec enable bits in the syscon1 register. note: both the cdenrx and cdentx enable bits should be asserted in tandem for data to be transmitted or received. the reason for this is that the interrupt generation will occur 1 msec after one of the fifos is enabled. for example: if the receive fifo gets enabled first and the transmit fifo at a later time, the interrupt will occur 1 msec after the receive fifo is enabled. after the first inter- rupt occurs, the receive fifo will be half full. however, it will not be possible to know how full the transmit fifo will be since it was enabled at a later time. thus, it is possible to unintentionally overwrite data already in the transmit fifo (see figure 6 ). after the cdenrx and cdentx enable bits get asserted, the corresponding fifos become en- abled. when both fifos are disabled, the fifo sta- type comments referred to as max. transfer speed spi / microwire 1 master mode only adc interface 128 kbits/s spi / microwire 2 master / slave mode ssi2 interface 512 kbits/s dai interface cd quality dacs and adcs dai interface 1.536 mbits/s codec interface only for use in the pll clock mode codec interface 64 kbits/s table 21. serial interface options pin no. lqfp external pin name ssi2 slave mode (internal name) ssi2 master mode codec internal name dai internal name strength 63 ssiclk ssiclk = serial bit clock; input output pcmclk = output sclk = output 1 65 ssitxfr ssktxfr = tx frame sync; input output pcmsync = output lrck = output 1 66 ssitxda ssitxda = tx data; output output pcmout = output sdout = output 1 67 ssirxda ssirxda = rx data; input input pcmin = input sdin = input 68 ssirxfr ssirxfr = rx frame sync; input output p/u (use a 10k pull-up) mclk 1 table 22. serial-pin assignments
ep7212 40 ds474pp1 tus flag crxfe is set and ctxff is cleared so that the fifos appear empty. additionally, if the cdentx bit is low, the pcmout output is dis- abled. asserting either of the two enable bits causes the sync and interrupt generation logic to become active; otherwise they are disabled to conserve power. data is loaded into the transmit fifo by writing to the codr register. at the beginning of a transmit cycle, this data is loaded into a shift/load register. just prior to the byte being transferred out, pcm- sync goes high for one pcmclk cycle. then the data is shifted out serially to pcmout, msb first, (with the msb valid at the same time pcmsync is asserted). data is shifted on the rising edge of the pcmclk output. receiving of data is performed by taking data in se- rially through pcmin, again msb first, shifting it through the shift/load register and loading the com- plete byte into the receive fifo. if there is no data available in the transmit fifo, then a zero will be loaded into the shift/load register. input data is sampled on the falling edge of pcmclk. data is read from the codr register. 3.13.2 digital audio interface the dai interface provides a high quality digital audio connection to dai compatible audio devices. the dai is a subset of i2s audio format that is sup- ported by a number of manufacturers. the dai interface produces one 128-bit frame at the audio sample frequency using a bit clock and frame sync signal. digital audio data is transferred, full duplex, via separate transmit and receive data lines. the bit clock frequency is either fixed at 9.216 mhz or set via an externally supplied mclk signal. the dai interface contains separate transmit and receive fifo ? s. the transmit fifo ? s are 8 audio cdenrx cdentx csint 1 ms 1 ms 1 ms interrupt occurs interrupt occurs interrupt occurs figure 6. codec interrupt timing
ep7212 ds474pp1 41 samples deep and the receive fifo ? s are 12 audio samples deep. 3.13.2.1 dai operation following reset, the dai logic is disabled. to en- able the dai, the applications program should first clear the emergency underflow and overflow status bits, which are set following the reset, by writing a 1 to these register bits (in the daisr register). next, the dai control register should be pro- grammed with the desired mode of operation using a word write. the transmit fifos can either be ? primed ? by writing up to eight 16-bit values each, or can be filled by the normal interrupt service rou- tine which handles the dai fifos. finally, the fifos for each channel must be enabled via writes to daidr2. at this point, transmission/reception of data begins on the transmit (sdout) and re- ceive (sdin) pins. this is synchronously con- trolled by the 9.216 mhz (6.5 mhz in 13 mhz mode) internal clock or the externally supplied bit clock (sclk), and the serial frame clock (lrck). 3.13.2.2 dai frame format each dai frame is 128 bits long and it comprises one audio sample. of this 128-bit frame, only 32 bits are actually used for digital audio data. the remaining bits are output as zeros. the lrck sig- nal is used as a frame synchronization signal. each transition of lrck delineates the left and right halves of an audio sample. when lrck transitions from high to low the next 16-bits make up the left dai adc dai dac 7209 sclk lrck sdout mclk sdin sclk lrck sdata mclk sclk lrck sdata mclk clock gen figure 7. dai interface
ep7212 42 ds474pp1 side of an audio sample. when lrck transitions from low to high the next 16-bits make up the right side of an audio sample. 3.13.2.3 dai signals mclk oversampled clock. used as an in- put to the ep7212 for generating the dai timing. this signal is also usu- ally used as an input to a dac/adc as an oversampled clock. this sig- nal is fixed at 256 times the audio sample frequency. sclk bit clock. used as the bit clock input into the dac/adc. this signal is fixed at 128 times the audio sample frequency. lrck frame sync. used as a frame syn- chronization input to the dac/adc. this signal is fixed at the audio sample frequency. this signal is clocked out on the negative going edge of sclk. sdout digital audio data out. used for sending playback data to a dac. this signal is clocked out on the negative going edge of the sclk output. sdin digital audio input. used for receiv- ing record data from an adc. this signal is latched by the ep7212 on the positive going edge of sclk. 3.13.3 adc interface ? master mode only ssi1 (synchronous serial interface) the first synchronous serial interface allows inter- facing to the following peripheral devices:  in the default mode, the device is compatible with the maxim max148/9 in external clock mode. similar spi- or microwire-compatible devices can be connected directly to the ep7212.  in the extended mode and with negative-edge triggering selected (the adccon and adc- cknsen bits are set, respectively, in the syscon3 register), this device can be inter- faced to analog devices ? ad7811/12 chip us- ing nadccs as a common rfs/tfs line.  other features of the devices, including power management, can be utilized by software and the use of the gpio pins. the clock output frequency is programmable and only active during data transmissions to save pow- er. there are four output frequencies selectable, which will be slightly different depending whether msb lrck sclk left channel right channel sdata +3 +2 +1 +5 +4 -1 -2 -3 -4 -5 +3 +2 +1 +5 +4 -1 -2 -3 -4 sdata +3 +2 +1 +5 +4 -1 -2 -3 -4 -5 +3 +2 +1 +5 +4 -1 -2 -3 -4 sdatai +3 +2 +1 +5 +4 -1 -2 -3 -4 -5 +3 +2 +1 +5 +4 -1 -2 -3 -4 o 128 sclks msb msb msb lsb lsb lsb lsb figure 8. ep7212 rev c - digital audio interface timing ? msb / left justified format
ep7212 ds474pp1 43 the device is operating in a 13 mhz mode or a 18.432 mhz ? 73.728 mhz mode (see table 23 ). the required frequency is selected by program- ming the corresponding bits 16 and 17 in the syscon1 register. the sample clock (smpclk) always runs at twice the frequency of the shift clock (adcclk). the output channel is fed by an 8-bit shift register when the adccon bit of syscon3 is clear. when adccon is set, up to 16 bits of configuration command can be sent, as specified in the syncio register. the input chan- nel is captured by a 16-bit shift register. the clock and synchronization pulses are activated by a write to the output shift register. during transfers the ssibusy (synchronous serial interface busy) bit in the system status flags register is set. when the transfer is complete and valid data is in the 16-bit read shift register, the sseoti interrupt is asserted and the ssibusy bit is cleared. an additional sample clock (smpclk) can be en- abled independently and is set at twice the transfer clock frequency. this interface has no local buffering capability and is only intended to be used with low bandwidth in- terfaces, such as for a touch-screen adc interface. 3.13.4 master / slave ssi2 (synchronous serial interface 2) a second spi / microwire interface with full master / slave capability is provided by the ep7212. data rates in slave mode are theoretically up to 512 kbits/s, full duplex, although continuous oper- ation at this data rate will give an interrupt rate of 2 khz, which is too fast for many operating sys- tems. this would require a worst-case interrupt re- sponse time of less than 0.5 msec and would cause loss of data through tx underruns and rx over- runs. the interface is fully capable of being clocked at 512 khz when in slave mode. however, it is antic- ipated that external hardware will be used to frame the data into packets. therefore, although the data would be transmitted at a rate of 512 kbits/s, the sustained data rate would in fact only be 85.3 kbits/s (i.e., 1 byte every 750 ? s are half-full or half-empty as appropriate. the inter- syscon1 bit 17 syscon1 bit 16 13.0 mhz operation adcclk frequency (khz) 18.432 ? 73.728 mhz operation adcclk frequency (khz) 00 4.2 4 01 16.9 16 10 67.7 64 1 1 135.4 128 table 23. adc interface operation frequencies
ep7212 44 ds474pp1 rupts are called ss2rx and ss2tx, respectively. register ss2dr is used to access the fifos. there are five pins to support this ssi port: ssirx- da, ssitxfr, ssiclk, ssitxda, and ssirx- fr. the ssiclk, ssirxda, ssirxfr, and ssitxfr signals are inputs and the ssitxda sig- nal is an output in slave mode. in the master mode, ssiclk, ssitxda, ssitxfr, and ssirxfr are outputs, and ssirxda is an input. master mode is enabled by writing a one to the ss2maen bit (syscon2[9]). when the master / slave ssi is not required, it can be disabled to save power by writ- ing a zero to the ss2txen and the ss2rxen bits (syscon2[4] [7]). when set, these two bits inde- pendently enable the transmit and receive sides of the interface. the master / slave ssi is synchronous, full duplex, and capable of supporting serial data transfers be- tween two nodes. although the interface is byte- oriented, data is loaded in blocks of two bytes at a time. each data byte to be transferred is marked by a frame sync pulse, lasting one clock period, and located one clock prior to the first bit being trans- ferred. direction of the ssi2 ports, in slave and master mode, is shown in figure 9 . data on the link is sent msb first and coincides with an appropriate frame sync pulse, of one clock in duration, located one clock prior to the first data bit sent (i.e., msb). it is not possible to send data lsb first. when operating in master mode, the clock frequen- cy is selected to be the same as the adc interface ? s (master mode only ssi1) ? that is, the frequencies are selected by the same bits 16 and 17 of the syscon1 register (i.e., the adcksel bits). thus, the maximum frequency in master mode is 128 kbits/s. the interface will support continuous transmission at this rate assuming that the os can respond to the interrupts within 1 msec to prevent over/underruns. note: to allow synchronization to the incoming slave clock, the interface enable bits will not take effect until one ssiclk cycle after they are written and the value read back from syscon2. the enable bits reflect the real status of the enables internally. hence, there will be a delay before the new value pro- grammed to the enable bits can be read back. the timing diagram for this interface can be found in the ac characteristics section of this document. 3.13.4.1 read back of residual data all writes to the transmit fifo must be in half- words (i.e., in units of two bytes at a time). on the receive side, it is possible that an odd number of bytes will be received. bytes are always loaded into the receive fifo in pairs. consequently, in the case of a single residual byte remaining at the end of a transmission, it will be necessary for the software figure 9. ssi2 port directions in slave and master mode slave 7212 ssirxfr ssitxfr ssiclk ssirxda ssitxda master 7212 ssirxfr ssitxfr ssiclk ssitxda ssirxda
ep7212 ds474pp1 45 to read the byte separately. this is done by reading the status of two bits in the sysflg2 register to determine the validity of the residual data. these two bits (resval, resfrm) are both set high when a residual is valid. resval is cleared on ei- ther a new transmission or on reading of the resid- ual bit by software. resfrm is cleared only on a new transmission. by popping the residual byte into the rx fifo and then reading the status of these bits it is possible to determine if a residual bit has been correctly read. figure 10 illustrates this procedure. the sequence is as follows: read the resval bit, if this is a 0, no action needs to be taken. if this is a 1, then pop the residual byte into the fifo by writing to the ss2pop location. then read back the two status bits resval and resfrm. if these bits read back 01, then the residual byte popped into the fifo is valid and can be read back from the ss2dr regis- ter. if the bits are not 01, then there has been anoth- er transmission received since the residual read procedure has been started. the data item that has been popped to the top of the fifo will be invalid and should be ignored. in this case, the correct byte will have been stored in the most significant byte of the next half-word to be clocked into the fifo. note: all the writes / reads to the fifo are done word at a time (data on the lower 16 bits is valid and upper 16 bits are ignored). software manually pops the residual byte into the rx fifo by writing to the ss2pop location (the value written is ignored). this write will strobe the rx fifo write signal, causing the residual byte to be written into the fifo. figure 10. residual byte reading 3.13.4.2 support for asymmetric traffic the interface supports asymmetric traffic (i.e., un- balanced data flow). this is accomplished through separate transmit and receive frame sync control lines. in operation, the receiving node receives a byte of data on the eight clocks following the asser- tion of the receive frame sync control line. in a sim- ilar fashion, the sending node can transmit a byte of data on the eight clocks following the assertion of the transmit frame sync pulse. there is no correla- tion in the frequency of assertions of the rx and tx frame sync control lines (ssitxfr and ssirxfr). hence, the rx path may bear a greater data throughput than the tx path, or vice versa. both directions, however, have an absolute maxi- mum data throughput rate determined by the maxi- mum possible clock frequency, assuming that the interrupt response of the target os is sufficiently quick. 3.13.4.3 continuous data transfer data bytes may be sent / received in a contiguous manner without interleaving clocks between bytes. the frame sync control line(s) are eight clocks apart and aligned with the clock representing bit d0 of the preceding byte (i.e., one bit in advance of the msb). 3.13.4.4 discontinuous clock in order to save power during the idle times, the clock line is put into a static low state. the master is responsible for putting the link into the idle state. the idle state will begin one clock, or more, after the last byte transferred and will resume at least one clock prior to the first frame sync assertion. to dis- able the clock, the tx section is turned off. in master mode, the ep7212 does not support the discontinuous clock. 00 11 01 residual bit valid new rx byte received pop fifo new rx byte received
ep7212 46 ds474pp1 3.13.4.5 error conditions rx fifo overflows are detected and conveyed via a status bit in the sysflg2 register. this register should be accessed at periodic intervals by the ap- plication software. the status register should be read each time the rx fifo interrupts are generat- ed. at this time the error condition (i.e., overrun flag) will indicate that an error has occurred but cannot convey which byte contains the error. writ- ing to the srxeof register location clears the overrun flag. tx fifo underflow condition is de- tected and conveyed via a bit in the sysflg2 reg- ister, which is accessed by the application software. a tx underflow error is cleared by writing data to be transmitted to the tx fifo. 3.13.4.6 clock polarity clock polarity is fixed. tx data is presented on the bus on the rising edge of the clock. data is latched into the receiving device on the falling edge of the clock. the tx pin is held in a tristate condition when not transmitting. 3.14 lcd controller with support for on- chip frame buffer the lcd controller provides all the necessary con- trol signals to interface directly to a single panel multiplexed lcd. the panel size is programmable and can be any width (line length) from 32 to 1024 pixels in 16-pixel increments. the total video frame buffer size is programmable up to 128 kbytes. this equates to a theoretical maximum pan- el size of 1024 x 256 pixels in 4 bits-per-pixel mode. the video frame buffer can be located in any portion of memory controlled by the chip selects. its start address will be fixed at address 0x0000000 within each chip select. the start address of the lcd video frame buffer is defined in the fbad- dr[3:0] register. these bits become the most sig- nificant nibble of the external address bus. the default start address is 0xc000 0000 (fbaddr = 0xc). a system built using the on-chip sram (ocsr), will then serve as the lcd video frame buffer and miscellaneous data store. the lcd vid- eo frame buffer start address should be set to 0x6 in this option. programming of the register fbaddr is only permitted when the lcd is disabled (this is to avoid possible cycle corruption when changing the register contents while a lcd dma cycle is in progress). there is no hardware protection to pre- vent this. it is necessary for the software to disable the lcd controller before reprogramming the fbaddr register. full address decoding is pro- vided for the ocsr, up to the maximum video frame buffer size programmable into the lcdcon register. beyond this, the address is wrapped around. the frame buffer start address must not be programmed to 0x4 or 0x5 if either cl-ps6700 in- terface is in use (pcmen1 or pcmen2 bits in the syscon2 register are enabled). fbaddr should never be programmed to 0x7 or 0x8, as these are the locations for the on-chip boot rom and inter- nal registers. the screen is mapped to the video frame buffer as one contiguous block where each horizontal line of pixels is mapped to a set of consecutive bytes or words in the video ram. the video frame buffer can be accessed word wide as pixel 0 is mapped to the lsb in the buffer such that the pixels are ar- ranged in a little endian manner. the pixel bit rate, and hence the lcd refresh rate, can be programmed from 18.432 mhz to 576 khz when operating in 18.432 ? 73.728 mhz mode, or 13 mhz to 203 khz when operating from a 13 mhz clock. the lcd controller is programmed by writing to the lcd control register (lcdcon). the lcdcon register should not be repro- grammed while the lcd controller is enabled. the lcd controller also contains two 32-bit palette registers, which allow any 4-, 2-, or 1-bit pixel val- ue to be mapped to any of the 15 grayscale values available. the required dma bandwidth to support a ? vga panel displaying 4 bits-per-pixel data at
ep7212 ds474pp1 47 an 80 hz refresh rate is approximately 6.2 mbytes/sec. assuming the frame buffer is stored in a 32-bit wide the maximum theoretical bandwidth available is 86 mbytes/sec at 36.864 mhz, or 29.7 mbytes/sec at 13 mhz. the lcd controller uses a nine stage 32-bit wide fifo to buffer display data. the lcd controller re- quests new data when there are five words remain- ing in the fifo. this means that for a ? vga display at 4 bits-per-pixel and 80 hz refresh rate, the maximum allowable dma latency is approxi- mately 3.25 x 8 bits/byte) / (640 x 240 x 4bpp x 80 hz)) = 3.25 x 77 ns/cycle = ~3.23 x 240 pix- els, assuming the same pixel depth and refresh rate has to be maintained. if the frame buffer is to be stored in static memory, then further calculations must be performed. if 18 mhz mode is selected, and 32-bit wide, then the worst-case latency will be 2.26 x 54 nsec/cycle). if 36 mhz mode is selected, and 32-bit wide, then the worst-case latency drops down to 1.49 x 4) + 7 = 55. thus, 55 x 27 ns = ~1.49 shows the organization of the video map for all combinations of bits-per-pixel. the refresh rate is not affected by the number of bits-per-pixel; however the lcd controller fetches twice the data per refresh for 4 bits-per-pixel com- pared to 2 bits-per-pixel. the main reason for re- ducing the number of bits-per-pixel is to reduce the power consumption of the memory where the video frame buffer is mapped. 3.15 timer counters two identical timer counters are integrated into the ep7212. these are referred to as tc1 and tc2. each timer counter has an associated 16-bit read / write data register and some control bits in the sys- tem control register. each counter is loaded with the value written to the data register immediately. this value will then be decremented on the second active clock edge to arrive after the write (i.e., after the first complete period of the clock). when the timer counter under flows (i.e., reaches 0), it will assert its appropriate interrupt. the timer counters can be read at any time. the clock source and mode are selectable by writing to various bits in the sys- tem control register. when run from the internal pll, 512 khz and 2 khz rates are provided. when using the 13 mhz external source, the default fre- quencies will be 541 khz and 2.115 khz, respec- tively. however, only in non-pll mode, an optional divide by 26 frequency can be generated (thus generating a 500 khz frequency when using the 13 mhz source). this divider is enabled by set- ting the ostb (operating system timing bit) in the syscon2 register (bit 12). when this bit is set high to select the 500 khz mode, the 500 khz fre- quency is routed to the timers instead of the 541 khz clock. this does not affect the frequencies derived for any of the other internal peripherals. the timer counters can operate in two modes: free running or pre-scale.
ep7212 48 ds474pp1 3.15.1 free running mode in the free running mode, the counter will wrap around to 0xffff when it under flows and it will continue to count down. any value written to tc1 or tc2 will be decremented on the second edge of the selected clock. 3.15.2 prescale mode in the prescale mode, the value written to tc1 or tc2 is automatically re-loaded when the counter under flows. any value written to tc1 or tc2 will be decremented on the second edge of the selected clock. this mode can be used to produce a pro- grammable frequency to drive the buzzer (i.e., with tc1) or generate a periodic interrupt. the formula is f=(500 khz) / (n+1). pixel 1 pixel 2 pixel 3 pixel 4 bit 0 bit 1 bit 2 bit 3 bit 4 bit 5 bit 6 bit 7 gray scale 4 bits per pixel pixel 1 pixel 2 pixel 3 pixel 4 bit 0 bit 1 bit 2 bit 3 bit 4 bit 5 bit 6 bit 7 gray scale gray scale 2 bits per pixel gray scale gray scale pixel 1 pixel 2 pixel 3 pixel 4 bit 0 bit 1 bit 2 bit 3 bit 4 bit 5 bit 6 bit 7 gray scale gray scale 1 bit per pixel gray scale gray scale gray scale figure 11. video buffer mapping
ep7212 ds474pp1 49 3.16 real time clock the ep7212 contains a 32-bit real time clock (rtc). this can be written to and read from in the same way as the timer counters, but it is 32 bits wide. the rtc is always clocked at 1 hz, generat- ed from the 32.768 khz oscillator. it also contains a 32-bit output match register, this can be pro- grammed to generate an interrupt when the time in the rtc matches a specific time written to this reg- ister. the rtc can only be reset by an npor cold reset. because the rtc data register is updated from the 1 hz clock derived from the 32 khz source, which is asynchronous to the main memory system clock, the data register should always be read twice to ensure a valid and stable reading. this also applies when reading back the rtcdiv field of the syscon1 register, which reflects the status of the six lsbs of the rtc counter. 3.16.1 characteristics of the real time clock interface when connecting a crystal to the rtc interface pins (i.e., rtcin and rtcout), the crystal and circuit should conform to the following require- ments:  the 32.768 khz frequency should be created by the crystals fundamental tone (i.e., it should be a fundamental mode crystal)  a start-up resistor is not necessary, since one is provided internally.  start-up loading capacitors may be placed on each side of the external crystal and ground. their value should be in the range of 10 pf. however, their values should be selected based upon the crystal specifications. the total sum of the capacitance of the traces between the ep7212 ? s clock pins, the capacitors, and the crystal leads should be subtracted from the crystal ? s specifications when determining the values for the loading capacitors.  the crystal should have a maximum 5 ppm fre- quency drift over the chip ? s operating tempera- ture range.  the voltage for the crystal must be 2.5 v + 0.2 v. alternatively, a digital clock source can be used to drive the rtcin pin of the ep7212. with this ap- proach, the voltage levels of the clock source should match that of the v dd supply for the ep7212 ? s pads (i.e., the supply voltage level used to drive all of the non-v dd core pins on the ep7212) (i.e., rtcout). the output clock pin should be left floating. 3.17 dedicated led flasher the led flasher feature enables an external pin (pd[0] / ledflsh) to be toggled at a programma- ble rate and duty ratio, with the intention that the external pin is connected to an led. this module is driven from the rtcs 32.768 khz oscillator and works in all running modes because no cpu inter- vention is needed once its rate and duty ratio have been configured (via the ledflsh register). the led flash rate period can be programmed for 1, 2, 3, or 4 seconds. the duty ratio can be programmed such that the mark portion can be 1/16, 2/16 ? 16/16 of the full cycle. the external pin can provide up to 4 ma of drive current. 3.18 two pwm interfaces two pulse width modulator (pwm) duty ratio clock outputs are provided by the ep7212. when the device is operating from the internal pll, the pwm will run at a frequency of 96 khz. these sig- nals are intended for use as drives for external dc- to-dc converters in the power supply unit (psu) subsystem. external input pins that would normally be connected to the output from comparators mon- itoring the external dc-to-dc converter output are also used to enable these clocks. these are the fb[0:1] pins. the duty ratio (and hence pwms on time) can be programmed from 1 in 16 to 15 in 16. the sense of the pwm drive signal (active high or
ep7212 50 ds474pp1 low) is determined by latching the state of this drive signal during power on reset (i.e., a pull-up on the drive signal will result in a active low drive out- put, and visa versa). this allows either positive or negative voltages to be generated by the external dc-to-dc converter. pwms are disabled by writ- ing zeros into the drive ratio fields in the pmpcon pump control register. note: to maximize power savings, the drive ratio fields should be used to disable the pwms, instead of the fb pins. the clocks that source the pwms are disabled when the drive ratio fields are zeroed. 3.19 boundary scan ieee 1149.1 compliant jtag is provided with the ep7212. table 24 shows what instructions are sup- ported in the ep7212. the intest function will not be supported for the ep7212. additional user-defined instructions exist, but these are not relevant to board-level testing. for further information please refer to the arm ddi 0087e arm720t data sheet . as there are additional scan-chains within the arm720t processor, it is necessary to include a scan-chain select function ? shown as scan_n in table 24 . to select a particular scan chain, this function must be input to the tap controller, fol- lowed by the 4-bit scan chain identification code. the identification code for the boundary scan chain is 0011. note that it is only necessary to issue the scan_n instruction if the device is already in the jtag mode. the boundary scan chain is selected as the default on test-logic reset and any of the system re- sets. the contents of the device id-register for the ep7212 are shown in table 25 . this is equivalent to 0x0f0f0f0f. note this is the id-code for the arm720t processor. 3.20 in-circuit emulation 3.20.1 introduction embeddedice ? is an extension to the architecture of the arm family of processors, and provides the ability to debug cores that are deeply embedded into systems. it consists of three parts: 1) a set of extensions to the arm core 2) the embeddedice macrocell, which provides external access to the extensions 3) the embeddedice interface, which provides communication between the host computer and the embeddedice macrocell the embeddedice macrocell is programmed, in a serial fashion, through the tap controller on the arm via the jtag interface. the embeddedice macrocell is by default disabled to minimize power usage, and must be enabled at boot-up to support this functionality. instruction code description extest 0000 places the selected scan chain in test mode. scan_n 0010 connects the scan path register between tdi and tdo sample / pre- load 0011 note: this instruc- tion is included for product testing only and should never be used. idcode 1110 connects the id regis- ter between tdi and tdo bypass 1111 connects a 1-bit shift register bit tdi and tdo table 24. instructions supported in jtag mode
ep7212 ds474pp1 51 3.20.2 functionality the icebreaker module consists of two real-time watchpoint units together with a control and status register. one or both of the units can be pro- grammed to halt the execution of the instructions by the arm processor. execution is halted when either a match occurs between the values pro- grammed into the icebreaker and the values cur- rently appearing on the address bus, data bus, and the various control signals. any bit can be masked to remove it from the comparison. either unit can be programmed as a watchpoint (monitoring data accesses) or a breakpoint (monitoring instruction fetches). using one of these watchpoint units, an unlimited number of software breakpoints (in ram) can be supported by substitution of the actual code. note: the extern[1:0] signals from the ice- breaker module are not wired out in this device. this mechanism is used to allow watchpoints to be dependent on an external event. this behavior can be emulated in software via the icebreaker control regis- ters. a more detailed description is available in the arm software development toolkit user guide and reference manual. the icebreaker module and its registers are fully described in the arm7tdmi data sheet . 3.21 maximum ep7212-based system a maximum configured system using the ep7212 is shown in figure 12 . this system assumes all of the drams and roms are 16-bit wide devices. the keyboard may be connected to more gpio bits than shown to allow greater than 64 keys, however these extra pins will not be wired into the wake- up pin functionality. version part number manufacturer id 00001111000011110000111100001111 table 25. device id register
ep7212 52 ds474pp1 lcd keyboard battery dc-to-dc converters adc digitizer ir led and photodiode 2 1 figure 12. a maximum ep7212 based system note: a system can only use one of the following peripheral interfaces at any given time: ssi2, codec, or dai . crystal moscin 1
ep7212 ds474pp1 53 4. memory map the lower 2 gbyte of the address space is allocated to memory. the 0.5 gbyte of address space from 0xc0000000 to 0xdfffffff is allocated to dram. the 1.5 gbyte, less 8 kbytes for internal registers, is not accessible in the ep7212. the mmu in the ep7212 should be programmed to generate an abort exception for access to this area. internal peripherals are addressed through a set of internal memory locations from hex address 0x8000.0000 to 0x8000.3fff. these are known as the internal registers in the ep7212. in table 26 , the memory map from 0x8000.000 to 0x8000.1fff contains registers that are compatible with the cl-ps7111 (see table 26 ). these were in- cluded for backward compatibility and are referred to as old internal registers. table 26 shows how the 4-gbyte address range of the arm720t processor (as configured within this chip) is mapped in the ep7212. the memory map shown assumes that two cl-ps6700 pc card con- trollers are connected. if this functionality is not re- quired, then the ncs[4] and ncs[5] memory is available. the external boot rom is not fully de- coded (i.e., the boot code will repeat within the 256-mbyte space from 0x70000000 to 0x80000000). see table 13 on page 30 for the memory map when booted from on chip boot rom. the sram is fully decoded up to a maxi- mum size of 128 kbytes. access to any location above this range will be wrapped to within the range. address contents size 0xf000.0000 reserved 256 mbytes 0xe000.0000 reserved 256 mbytes 0xd000.0000 dram bank 1 256 mbytes 0xc000.0000 dram bank 0 256 mbytes 0x8000.4000 unused ~1 gbyte 0x8000.2000 0x8000.0000 internal registers (new) internal registers (old) (from 7111) 8 kbytes 8 kbytes 0x7000.0000 boot rom (ncs[7]) 128 bytes 0x6000.0000 sram (ncs[6]) 38,400 bytes 0x5000.0000 pcmcia-1 (ncs[5]) 4 x 64 mbytes 0x4000.0000 pcmcia-0 (ncs[4]) 4 x 64 mbytes 0x3000.0000 expansion (ncs[3]) 256 mbytes 0x2000.0000 expansion (ncs[2]) 256 mbytes 0x1000.0000 rom bank 1 (ncs[1]) 256 mbytes 0x0000.0000 rom bank 0 (ncs[0]) 256 mbytes table 26. ep7212 memory map in external boot mode
ep7212 54 ds474pp1 5. register descriptions 5.1 internal registers table 27 shows the internal registers of the ep7212 that are compatible with the cl-ps7111 when the cpu is configured to a little endian mem- ory system. table 28 shows the differences that oc- cur when the cpu is configured to a big endian memory system for byte-wide access to ports a, b, and d. all the internal registers are inherently little endian (i.e., the least significant byte is attached to bits 7 to 0 of the data bus). hence, the system en- dianness affects the addresses required for byte ac- cesses to the internal registers, resulting in a reversal of the byte address required to read / write a particular byte within a register. note that the in- ternal registers have been split into two groups ? the ? old ? and the ? new ? . the old ones are the same as that used in cl-ps7111 and are there for com- patibility. the new registers are for accessing the additional functionality of the dai interface and the led flasher. there is no effect on the register addresses for word accesses. bits a[0:1] of the internal address bus are only decoded for ports a, b, and d (to allow read / write to individual ports). for all other registers, bits a[0:1] are not decoded, so that byte reads will return the whole register contents onto the ep7212 ? s internal bus, from where the appropriate byte (according to the endianness) will be read by the cpu. to avoid the additional complexity, it is preferable to perform all internal register accesses as word operations, except for ports a to d which are explicitly designed to operate with byte access- es, as well as with word accesses. an 8 k segment of memory in the range 0x8000.0000 to 0x8000.3fff is reserved for inter- nal use in the ep7212. accesses in this range will not cause any external bus activity unless debug mode is enabled. writes to bits that are not explic- itly defined in the internal area are legal and will have no effect. reads from bits not explicitly de- fined in the internal area are legal but will read un- defined values. all the internal addresses should only be accessed as 32-bit words and are always on a word boundary, except for the pio port registers, which can be accessed as bytes. address bits in the range a[0:5] are not decoded (except for ports a ? d), this means each internal register is valid for 64 bytes (i.e., the sysflg1 register appears at lo- cations 0x8000.0140 to 0x8000.017c). there are some gaps in the register map for backward com- patibility reasons, but registers located next to a gap are still only decoded for 64 bytes. the gpio port registers are byte-wide and can be accessed as a word but not as a half-word. these registers additionally decode a[0:1]. all addresses are in hexadecimal notation. note: all byte-wide registers should be accessed as words (except port a to port d registers, which are designed to work in both word and byte modes). all registers bit alignment starts from the lsb of the register (i.e., they are all right shift justified). the registers which interact with the 32 khz clock or which could change during read- back (i.e., rtc data registers, sysflg1 register (lower 6-bits only), the tc1d and tc2d data registers, port registers, and interrupt status registers), should be read twice and compared to ensure that a stable value has been read back. all internal registers in the ep7212 are reset (cleared to zero) by a system reset (i.e., npor, nreset, or npwrfl signals becoming active), and the real time clock data register (rtcdr) and match register (rtcmr), which are only reset by npor becoming active. this ensures that the system time preserved through a user reset or pow- er fail condition. in the following register descrip- tions, little endian is assumed.
ep7212 ds474pp1 55 address name default rd/wr size comments 0x8000.0000 padr 0 rw 8 port a data register 0x8000.0001 pbdr 0 rw 8 port b data register 0x8000.0002 ?? 8 reserved 0x8000.0003 pddr 0 rw 8 port d data register 0x8000.0040 paddr 0 rw 8 port a data direction register 0x8000.0041 pbddr 0 rw 8 port b data direction register 0x8000.0042 ?? 8 reserved 0x8000.0043 pdddr 0 rw 8 port d data direction register 0x8000.0080 pedr 0 rw 3 port e data register 0x8000.00c0 peddr 0 rw 3 port e data direction register 0x8000.0100 syscon1 0 rw 32 system control register 1 0x8000.0140 sysflg1 0 rd 32 system status flags register 1 0x8000.0180 memcfg1 0 rw 32 expansion memory configuration register 1 0x8000.01c0 memcfg2 0 rw 32 expansion memory configuration register 2 0x8000.0200 drfpr 0 rw 8 dram refresh period register 0x8000.0240 intsr1 0 rd 32 interrupt status register 1 0x8000.0280 intmr1 0 rw 32 interrupt mask register 1 0x8000.02c0 lcdcon 0 rw 32 lcd control register 0x8000.0300 tc1d 0 rw 16 read / write register sets and reads data to tc1 0x8000.0340 tc2d 0 rw 16 read / write register sets and reads data to tc2 0x8000.0380 rtcdr ? rw 32 real time clock data register 0x8000.03c0 rtcmr ? rw 32 real time clock match register 0x8000.0400 pmpcon 0 rw 12 pwm pump control register 0x8000.0440 codr 0 rw 8 codec data i/o register 0x8000.0480 uartdr1 0 rw 16 uart1 fifo data register 0x8000.04c0 ublcr1 0 rw 32 uart1 bit rate and line control register 0x8000.0500 syncio 0 rw 32 synchronous serial i/o data register for master only ssi 0x8000.0540 pallsw 0 rw 32 least significant 32-bit word of lcd palette register 0x8000.0580 palmsw 0 rw 32 most significant 32-bit word of lcd palette register 0x8000.05c0 stfclr ? wr ? write to clear all start up reason flags 0x8000.0600 bleoi ? wr ? write to clear battery low interrupt 0x8000.0640 mceoi ? wr ? write to clear media changed interrupt 0x8000.0680 teoi ? wr ? write to clear tick and watchdog interrupt 0x8000.06c0 tc1eoi ? wr ? write to clear tc1 interrupt 0x8000.0700 tc2eoi ? wr ? write to clear tc2 interrupt table 27. ep7212 internal registers (little endian mode)
ep7212 56 ds474pp1 * internal registers that are not backward compatible with the cl-ps7111. 0x8000.0740 rtceoi ? wr ? write to clear rtc match interrupt 0x8000.0780 umseoi ? wr ? write to clear uart modem status changed interrupt 0x8000.07c0 coeoi ? wr ? write to clear codec sound interrupt 0x8000.0800 halt ? wr ? write to enter the idle state 0x8000.0840 stdby ? wr ? write to enter the standby state 0x8000.0880 ? 0x8000.0fff reserved write will have no effect, read is undefined 0x8000.1000 fbaddr 0xc rw 4 lcd frame buffer start address 0x8000.1100 syscon2 0 rw 16 system control register 2 0x8000.1140 sysflg2 0 rd 24 system status register 2 0x8000.1240 intsr2 0 rd 24 interrupt status register 2 0x8000.1280 intmr2 0 rw 16 interrupt mask register 2 0x8000.12c0 ? 0x8000.147f reserved write will have no effect, read is undefined 0x8000.1480 uartdr2 0 rw 16 uart2 data register 0x8000.14c0 ublcr2 0 rw 32 uart2 bit rate and line control register 0x8000.1500 ss2dr 0 rw 16 master / slave ssi2 data register 0x8000.1600 srxeof ? wr ? write to clear rx fifo overflow flag 0x8000.16c0 ss2pop ? wr ? write to pop ssi2 residual byte into rx fifo 0x8000.1700 kbdeoi ? wr ? write to clear keyboard interrupt 0x8000.1800 reserved ? wr ? do not write to this location. a write will cause the processor to go into an unsupported power savings state. 0x8000.1840 ? 0x8000.1fff reserved ? write will have no effect, read is undefined 0x8000.2000 dair * 0 rw 32 dai control register 0x8000.2040 dair0 * 0 rw 32 dai data register 0 0x8000.2080 daidr1 * 0 rw 32 dai data register 1 0x8000.20c0 daidr2 * 0 wr 21 dai data register 2 0x8000.2100 daisr * 0 rw 32 dai status register 0x8000.2200 syscon * 0 rw 16 system control register 3 0x8000.2240 intsr3 * 0 rd 32 interrupt status register 3 0x8000.2280 intmr3 * 0 rw 8 interrupt mask register 3 0x8000.22c0 ledflsh * 0 rw 7 led flash register address name default rd/wr size comments table 27. ep7212 internal registers (little endian mode) (cont.)
ep7212 ds474pp1 57 table 28. ep7212 internal registers (big endian mode) all internal registers in the ip7212 are reset (cleared to zero) by a system reset (i.e., npor, nureset, or npwrfl signals becoming active), except for the dram refresh period register (dpfpr), the real time clock data register (rtcdr), and the match register (rtcmr), which are only reset by npor becoming active. this ensures that the dram contents and system time are preserved through a user reset or power fail condition. note: the following register descriptions refer to little endian mode only 5.1.1 padr port a data register address: 0x8000.0000 values written to this 8-bit read / write register will be output on port a pins if the corresponding data direction bits are set high (port output). values read from this register reflect the external state of port a, not necessarily the value written to it. all bits are cleared by a system reset. 5.1.2 pbdr port b data register address: 0x8000.0001 values written to this 8-bit read / write register will be output on port b pins if the corresponding data direction bits are set high (port output). values read from this register reflect the external state of port b, not necessarily the value written to it. all bits are cleared by a system reset. 5.1.3 pddr port d data register address: 0x8000.0003 values written to this 8-bit read / write register will be output on port d pins if the corresponding data direction bits are set low (port output). values read from this register reflect the external state of port d, not necessarily the value written to it. all bits are cleared by a system reset. big endian mode name default rd/wr size comments 0x8000.0003 padr 0 rw 8 port a data register 0x8000.0002 pbdr 0 rw 8 port b data register 0x8000.0001 ?? 8 reserved 0x8000.0000 pddr 0 rw 8 port d data register 0x8000.0043 paddr 0 rw 8 port a data direction register 0x8000.0042 pbddr 0 rw 8 port b data direction register 0x8000.0041 ?? 8 reserved 0x8000.0040 pdddr 0 rw 8 port d data direction register 0x0000.0080 pedr 0 rw 3 port e data register 0x8000.0000 peddr 0 rw 3 port e data direction register
ep7212 58 ds474pp1 5.1.4 paddr port a data direction register address: 0x8000.0040 bits set in this 8-bit read / write register will select the corresponding pin in port a to become an output, clearing a bit sets the pin to input. all bits are cleared by a system reset. 5.1.5 pbddr port b data direction register address: 0x8000.0041 bits set in this 8-bit read / write register will select the corresponding pin in port b to become an output, clearing a bit sets the pin to input. all bits are cleared by a system reset. 5.1.6 pdddr port d data direction register address: 0x8000.0043 bits cleared in this 8-bit read / write register will select the corresponding pin in port d to become an output, setting a bit sets the pin to input. all bits are cleared by a system reset so that port d is output by default. 5.1.7 pedr port e data register address: 0x8000.0080 values written to this 3-bit read / write register will be output on port e pins if the corresponding data direction bits are set high (port output). values read from this register reflect the external state of port e, not necessarily the value written to it. all bits are cleared by a system reset. 5.1.8 peddr port e data direction register address: 0x8000.00c0 bits set in this 3-bit read / write register will select the corresponding pin in port e to become an output, while the clearing bit sets the pin to input. all bits are cleared by a system reset so that port e is input by default. 5.2 system control registers 5.2.1 syscon1 the system control register 1 address: 0x8000.0100 the system control register is a 21-bit read / write register which controls all the general configuration of the ep7212, as well as modes etc. for peripheral devices. all bits in this register are cleared by a system reset. the bits in the system control register syscon1 are defined in table 29 . 23 22 21 20 19 18 irtxm wakedis excken 17:16 15 14 13 12 11 adcksel siren cdenrx cdentx lcden dbgen 76543:0 tc2s tc2m tc1s tc1m keyboard scan
ep7212 ds474pp1 59 bit description 0:3 keyboard scan : this 4-bit field defines the state of the keyboard column drives. the following table defines these states. 4 tc1m : timer counter 1 mode. setting this bit sets tc1 to prescale mode, clearing it sets free running mode. 5 tc1s : timer counter 1 clock source. setting this bit sets the tc1 clock source to 512 khz, clear- ing it sets the clock source to 2 khz. 6 tc2m : timer counter 2 mode. setting this bit sets tc2 to prescale mode, clearing it sets free running mode. 7 tc2s : timer counter 2 clock source. setting this bit sets the tc2 clock source to 512 khz, clear- ing it sets the clock source to 2 khz. 8 uart1en : internal uart enable bit. setting this bit enables the internal uart. 9 bztog : bit to drive (i.e., toggle) the buzzer output directly when software mode of operation is selected (i.e., bit bzmod = 0). see the bzmod and buzfreq (syscon1) bits for more details. 10 bzmod : this bit selects the buzzer drive mode. when bzmod = 0, the buzzer drive output pin is connected directly to the bztog bit. this is the software mode. when bzmod = 1, the buzzer drive is in the hardware mode. two hardware sources are available to drive the pin. they are the tc1 or a fixed internally generated clock source. the selection of which source is used to drive the pin is determined by the state of the buzfreq bit in the syscon2 register. if the tc1 is selected, then the buzzer output pin is connected to the tc1 under flow bit. the buzzer output pin changes every time the timer wraps around. the frequency depends on what was pro- grammed into the timer. see the description of the buzfreq and bztog bits (syscon2) for more details. table 29. syscon1 keyboard scan column 0 all driven high 1 all driven low 2 ? 7 all high impedance (tristate) 8 column 0 only driven high all others high impedance 9 column 1 only driven high all others high impedance 10 column 2 only driven high all others high impedance 11 column 3 only driven high all others high impedance 12 column 4 only driven high all others high impedance 13 column 5 only driven high all others high impedance 14 column 6 only driven high all others high impedance 15 column 7 only driven high all others high impedance
ep7212 60 ds474pp1 11 dbgen : setting this bit will enable the debug mode. in this mode, all internal accesses are out- put as if they were reads or writes to the expansion memory addressed by ncs5. ncs5 will still be active in its standard address range. in addition, the internal interrupt request and fast inter- rupt request signals to the arm720t processor are output on port e, bits 1 and 2. note that these bits must be programmed to be outputs before this functionality can be observed. the clock to the cpu is output on port e, bit 0 to delineate individual accesses. for example, in debug mode: ncs5 = ncs5 or internal i/o strobe pe0 = clk pe1 = nirq pe2 = nfiq 12 lcden : lcd enable bit. setting this bit enables the lcd controller. 13 cdentx : codec interface enable tx bit. setting this bit enables the codec interface for data transmission to an external codec device. 14 cdenrx : codec interface enable rx bit. setting this bit enables the codec interface for data reception from an external codec device. note: both cdenrx and cdentx need to be enabled / disabled in tandem, otherwise data may be lost. 15 siren : hp sir protocol encoding enable bit. this bit will have no effect if the uart is not enabled. 16:17 adcksel : microwire / spi peripheral clock speed select. this two-bit field selects the frequency of the adc sample clock, which is twice the frequency of the synchronous serial adc interface clock. the table below shows the available frequencies for operation when in pll mode. these bits are also used to select the shift clock frequency for the ssi2 interface when set into master mode. the frequencies obtained in 13.0 mhz mode can be found in table 23 . 18 excken : external expansion clock enable. if this bit is set, the expclk is enabled continuously as a free running clock with the same frequency and phase as the cpu clock, assuming that the main oscillator is running. this bit should not be left set all the time for power consumption rea- sons. if the system enters the standby state, the expclk will become undefined. if this bit is clear, expclk will be active during memory cycles to expansion slots that have external wait state generation enabled only. 19 wakedis : setting this bit disables waking up from the standby state, via the wakeup input. 20 irtxm : irda tx mode bit. this bit controls the irda encoding strategy. clearing this bit means that each zero bit transmitted is represented as a pulse of width 3/16th of the bit rate period. set- ting this bit means each zero bit is represented as a pulse of width 3/16th of the period of 115,200-bit rate clock (i.e., 1.6 sec regardless of the selected bit rate). setting this bit will use less power, but will probably reduce transmission distances. bit description table 29. syscon1 (cont.) adcksel adc sample frequency (khz) ? smpclk adc clock frequency (khz) ? adcclk 00 8 4 01 32 16 10 128 64 11 256 128
ep7212 ds474pp1 61 5.2.2 syscon2 system control register 2 address: 0x8000.1100 this register is an extension of syscon1, containing additional control for the ep7212, for compat- ibility with cl-ps7111. the bits of this second system control register are defined below. the syscon2 register is reset to all 0s on power up. 15 14 13 12 11:10 9 8 reserved buzfreq clkensl ostb reserved ss2maen uart2en 7 6543210 ss2rxen pc card2 pc card1 ss2txen kbwen dramsz kbd6 sersel bit description 0 sersel: the only affect of this bit is to select either ssi2 or the codec to interface to the external pins. see the table below for the selection options. note: if the daisel bit of syscon3 is set, then it overrides the state of the sersel bit, and thus the external pins are connected to the dai interface. 1 kbd6 : the state of this bit determines how many of the port a inputs are or ? ed together to cre- ate the keyboard interrupt. when zero (the reset state), all eight of the port a inputs will generate a keyboard interrupt. when set high, only port a bits 0 to 5 will generate an interrupt from the keyboard. it is assumed that the keyboard row lines are connected into port a. 2 dramz : this bit determines the width of the dram memory interface, where: 0=32-bit dram and 1=16-bit dram. 3 kbwen : when the kbwen bit is high, the ep7212 will awaken from a power saving state into the operating state when a high signal is on one of port a ? s inputs (irrespective of the state of the interrupt mask register). this is called the keyboard direct wakeup mode. in this mode, the inter- rupt request does not have to get serviced. if the interrupt is masked (i.e., the interrupt mask reg- ister 2 (intmr2) bit 0 is low), the processor simply starts re-executing code from where it left off before it entered the power saving state. if the interrupt is non-masked, then the processor will service the interrupt. 4 ss2txen: transmit enable for the synchronous serial interface 2. the transmit side of ssi2 will be disabled until this bit is set. when set low, this bit also disables the ssiclk pin (to save power) in master mode, if the receive side is low. 5 pc card1 : enable for the interface to the cl-ps6700 device for pc card slot 1. the main effect of this bit is to reassign the functionality of port b, bit 0 to the prdy input from the cl-ps6700 devices, and to ensure that any access to the ncs4 address space will be according to the cl-ps6700 interface protocol. table 30. syscon2 sersel value selected serial device to external pins 0 master / slave ssi2 1 codec
ep7212 62 ds474pp1 6 pc card2 : enable for the interface to the cl-ps6700 device for pc card slot 2. the main effect of this bit is to reassign the functionality of port b, bit 1 to the prdy input from the cl-ps6700 devices and to ensure that any access to the ncs5 address space will be according to the cl-ps6700 interface protocol. 7 ss2rxen : receive enable for the synchronous serial interface 2. the receive side of ssi2 will be disabled until this bit is set. when both ssi2txen and ssi2rxen are disabled, the ssi2 interface will be in a power saving state. 8 uart2en : internal uart2 enable bit. setting this bit enables the internal uart2. 9 ss2maen : master mode enable for the synchronous serial interface 2. when low, ssi2 will be configured for slave mode operation. when high, ssi2 will be configured for master mode opera- tion. this bit also controls the directionality of the interface pins. 12 ostb : this bit (operating system timing bit) is for use only with the 13 mhz clock source mode. normally it will be set low, however when set high it will cause a 500 khz clock to be generated for the timers instead of the 541 khz which would normally be available. the divider to generate this frequency is not clocked when this bit is set low. 13 clkensl : clken select. when low, the clken signal will be output on the run/clken pin. when high, the run signal will be output on run/clken. 14 buzfreq : the buzfreq bit is used to select which hardware source will be used as the source to drive the buzzer output pin. when buzfreq = 0, the buzzer signal generated from the on-chip timer (tc1) is output. when buzfreq = 1, a fixed frequency clock is output (500 hz when running from the pll, 528 hz in the 13 mhz external clock mode). see the bzmod and the bztog bits (syscon2) for more details. bit description table 30. syscon2 (cont.)
ep7212 ds474pp1 63 5.2.3 syscon3 system control register 3 address: 0x8000.2200 this register is an extension of syscon1 and syscon2, containing additional control for the ep7212. the bits of this third system control register are defined in table 31 . 15 14 13 12 11 10 9 8 reserved reserved reserved reserved reserved reserved daien fastwake 76543210 versn[2] reserved versn[1] reserved versn[0] reserved adccknsen daisel clkctl1 clkctl0 adccon bit description 0 adccon : determines whether the adc configuration extension field syncio(31:16) is to be used for adc configuration data. when this bit = 0 (default state) the adc configuration byte syncio(7:0) only is used for compatibility with the cl-ps7111. when this bit = 1, the adc con- figuration extension field in the syncio register is used for adc configuration data and the value in the adc configuration byte (syncio(6:0)) selects the length of the data (8-bit to 16-bit). 1:2 clkctl(1:0) : determines the frequency of operation of the processor and wait state scaling. the table below lists the available options. note: to determine the number of wait states programmed refer to ta b l e 3 8 and table 39 . when operating at 13 mhz, the clkctl[1:0] bits should not be changed from the default value of ? 00 ? . under no circumstances should the clkctl bits be changed using a buffered write. 3 daisel : when set selects the dai interface. this defaults to either the ssi (i.e., daisel bit is low). 4 adccknsen : when set, configuration data is transmitted on adcout at the rising edge of the adcclk, and data is read back on the falling edge on the adcin pin. when clear (default), the opposite edges are used. 5:7 versn[0:2] : additional read-only version bits ? will read ? 001 ? for revision c and ? 010 ? for revi- sion d ep7212 chips. 8 fastwake : when set, the device will wake from the standby state within one to two cycles of a 4 khz clock. this bit is cleared at power up, and thus the device first starts using the default one to two cycles of the 8 hz clock. 9 daien : this bit enables the digital audio interface when set (i.e., when daien is high). table 31. syscon3 clkctl(1:0) value processor frequency memory bus frequency wait state scaling 00 18.432 mhz 18.432 mhz 1 01 36.864 mhz 36.864 mhz 2 10 49.152 mhz 36.864 mhz 2 11 73.728 mhz 36.864 mhz 2
ep7212 64 ds474pp1 5.2.4 sysflg1 ? the system status flags register address: 0x8000.0140 the system status flags register is a 32-bit read only register, which indicates various system infor- mation. the bits in the system status flags register sysflg1 are defined in table 32 . 31:30 29282726 verid id bootbit1 bootbit0 ssibusy 23 22 21:16 23 22 utxff1 urxfe1 rtcdiv utxff1 urxfe1 15 14 13 12 11 cldflg pfflg rstflg nbflg ubusy1 7:4 3 2 1 0 did wuon wudr dcdet mcdr bit description 0 mcdr : media changed direct read. this bit reflects the inverted non-latched status of the media changed input. 1 dcdet : this bit will be set if a non-battery operated power supply is powering the system (it is the inverted state of the nextpwr input pin). 2 wudr : wake up direct read. this bit reflects the non-latched state of the wakeup signal. 3 wuon : this bit will be set if the system has been brought out of the standby state by a rising edge on the wakeup signal. it is cleared by a system reset or by writing to the halt or stdby locations. 4:7 did : display id nibble. this 4-bit nibble reflects the latched state of the four lcd data lines. the state of the four lcd data lines is latched by the lcden bit, and so it will always reflect the last state of these lines before the lcd controller was enabled. 8 cts : this bit reflects the current status of the clear to send (cts) modem control input to uart1. 9 dsr : this bit reflects the current status of the data set ready (dsr) modem control input to uart1. 10 dcd : this bit reflects the current status of the data carrier detect (dcd) modem control input to uart1. 11 ubusy1 : uart1 transmitter busy. this bit is set while uart1 is busy transmitting data, it is guaranteed to remain set until the complete byte has been sent, including all stop bits. 12 nbflg : new battery flag. this bit will be set if a low to high transition has occurred on the nbatchg input, it is cleared by writing to the stfclr location. 13 rstflg : reset flag. this bit will be set if the reset button has been pressed, forcing the nureset input low. it is cleared by writing to the stfclr location. 14 pfflg : power fail flag. this bit will be set if the system has been reset by the npwrfl input pin, it is cleared by writing to the stfclr location. 15 cldflg : cold start flag. this bit will be set if the ep7212 has been reset with a power on reset, it is cleared by writing to the stfclr location. table 32. sysflg
ep7212 ds474pp1 65 16:21 rtcdiv : this 6-bit field reflects the number of 64 hz ticks that have passed since the last incre- ment of the rtc. it is the output of the divide by 64 chain that divides the 64 hz tick clock down to 1 hz for the rtc. the msb is the 32 hz output, the lsb is the 1 hz output. 22 urxfe1 : uart1 receiver fifo empty. the meaning of this bit depends on the state of the ufi- foen bit in the uart1 bit rate and line control register. if the fifo is disabled, this bit will be set when the rx holding register is empty. if the fifo is enabled, the urxfe bit will be set when the rx fifo is empty. 23 utxff1 : uart1 transmit fifo full. the meaning of this bit depends on the state of the ufi- foen bit in the uart1 bit rate and line control register. if the fifo is disabled, this bit will be set when the tx holding register is full. if the fifo is enabled, the utxff bit will be set when the tx fifo is full. 24 crxfe : codec rx fifo empty bit. this will be set if the 16-byte codec rx fifo is empty. 25 ctxff : codec tx fifo full bit. this will be set if the 16-byte codec tx fifo is full. 26 ssibusy : synchronous serial interface busy bit. this bit will be set while data is being shifted in or out of the synchronous serial interface, when clear data is valid to read. 27:28 bootbit0 ? 1 : these bits indicate the default (power-on reset) bus width of the rom interface. see memory configuration registers for more details on the rom interface bus width. the state of these bits reflect the state of port e[0:1] during power on reset, as shown in the table below. 29 id : will always read ? 1 ? for the ep7212 device. 30:31 verid : version id bits. these 2 bits determine the version id for the ep7212. will read ? 10 ? for the initial version. bit description table 32. sysflg (cont.) pe[1] (bootbit1) pe[0] (bootbit0) boot option 0 0 32-bit 0 1 8-bit 1 0 16-bit 11reserved
ep7212 66 ds474pp1 5.2.5 sysflg2 system status register 2 address: 0x8000.1140 this register is an extension of sysflg1, containing status bits for backward compatibility with cl- ps7111. the bits of the second system status register are defined in table 33 . 23 22 21:12 11 10:7 6 utxff2 urxfe2 reserved ubusy2 reserved ckmode 543210 ss2txuf ss2txff ss2rxfe resfrm resval ss2rxof bit description 0 ss2rxof : master / slave ssi2 rx fifo overflow. this bit is set when a write is attempted to a full rx fifo (i.e., when rx is still receiving data and the fifo is full). this can be cleared in one of two ways: 1. empty the fifo (remove data from fifo) and then write to srxeof location. 2. disable the rx (affects of disabling the rx will not take place until a full ssi2 clock cycle after it is disabled) 1 resval : master / slave ssi2 rx fifo residual byte present, cleared by popping the residual byte into the ssi2 rx fifo or by a new rx frame sync pulse. 2 resfrm : master / slave ssi2 rx fifo residual byte present, cleared only by a new rx frame sync pulse. 3 ss2rxfe : master / slave ssi2 rx fifo empty bit. this will be set if the 16 x 16 rx fifo is empty. 4 ss2txff : master / slave ssi2 tx fifo full bit. this will be set if the 16 x 16 tx fifo is full. this will get cleared when data is removed from the fifo or the ep7212 is reset. 5 ss2txuf : master / slave ssi2 tx fifo underflow bit. this will be set if there is attempt to trans- mit when tx fifo is empty. this will be cleared when fifo gets loaded with data. 6 ckmode : this bit reflects the status of the clksel (pe[2]) input, latched during npor. when low, the pll is running and the chip is operating in 18.432 ? 73.728 mhz mode. when high the chip is operating from an external 13 mhz clock. 11 ubusy2 : uart2 transmitter busy. this bit is set while uart2 is busy transmitting data; it is guaranteed to remain set until the complete byte has been sent, including all stop bits. 22 urxfe2 : uart2 receiver fifo empty. the meaning of this bit depends on the state of the ufi- foen bit in the uart2 bit rate and line control register. if the fifo is disabled, this bit will be set when the rx holding register contains is empty. if the fifo is enabled, the urxfe bit will be set when the rx fifo is empty. 23 utxff2 : uart2 transmit fifo full. the meaning of this bit depends on the state of the ufi- foen bit in the uart2 bit rate and line control register. if the fifo is disabled, this bit will be set when the tx holding register is full. if the fifo is enabled, the utxff bit will be set when the tx fifo is full. table 33. sysflg2
ep7212 ds474pp1 67 5.3 interrupt registers 5.3.1 intsr1 interrupt status register 1 address: 0x8000.0240 the interrupt status register is a 32-bit read only register. the interrupt status register reflects the cur- rent state of the first 16 interrupt sources within the ep7212. each bit is set if the appropriate interrupt is active. the interrupt assignment is given in table 34 . 15 14 13 12 11 10 9 8 sseoti umsint urxint1 utxint1 tint rtcmi tc2oi tc1oi 7 6543210 eint3 eint2 eint1 csint mcint weint blint extfiq bit description 0 extfiq : external fast interrupt. this interrupt will be active if the nextfiq input pin is forced low and is mapped to the fiq input on the arm720t processor. 1 blint : battery low interrupt. this interrupt will be active if no external supply is present (nextpwr is high) and the battery ok input pin batok is forced low. this interrupt is de-glitched with a 16 khz clock, so it will only generate an interrupt if it is active for longer than 125 sec. it is mapped to the fiq input on the arm720t processor and is cleared by writing to the bleoi location. note: blint is disabled during the standby state. 2 weint : tick watch dog expired interrupt. this interrupt will become active on a rising edge of the peri- odic 64 hz tick interrupt clock if the tick interrupt is still active (i.e., if a tick interrupt has not been ser- viced for a complete tick period). it is mapped to the fiq input on the arm720t processor and the teoi location. note: weint is disabled during the standby state. watch dog timer tick rate is 64 hz (in 13 mhz and 73.728 ? 18.432 mhz modes). watchdog timer is turned off during the standby state. 3 mcint : media changed interrupt. this interrupt will be active after a rising edge on the nmedchg input pin has been detected, this input is de-glitched with a 16 khz clock so it will only generate an interrupt if it is active for longer than 125 sec. it is mapped to the fiq input on the arm7tdmi processor and is cleared by writing to the mceoi location. on power-up, the media change pin (nmedchg) is used as an input to force the processor to either boot from the internal boot rom, or from external memory. after power-up, the pin can be used as a general purpose fiq interrupt pin. 4 csint : codec sound interrupt, generated when the data fifo has reached half full or empty (depend- ing on the interface direction). it is cleared by writing to the coeoi location. 5 eint1 : external interrupt input 1. this interrupt will be active if the neint1 input is active (low). it is cleared by returning neint1 to the passive (high) state. 6 eint2 : external interrupt input 2. this interrupt will be active if the neint2 input is active (low). it is cleared by returning neint2 to the passive (high) state. 7 eint3 : external interrupt input 3. this interrupt will be active if the eint3 input is active (high). it is cleared by returning eint3 to the passive (low) state. 8 tc1oi : tc1 under flow interrupt. this interrupt becomes active on the next falling edge of the timer counter 1 clock after the timer counter has under flowed (reached zero). it is cleared by writing to the tc1eoi location. table 34. intsr1
ep7212 68 ds474pp1 5.3.2 intmr1 interrupt mask register 1 address: 0x8000.0280 this interrupt mask register is a 32-bit read / write register, which is used to selectively enable any of the first 16 interrupt sources within the ep7212. the four shaded interrupts all generate a fast interrupt request to the arm720t processor (fiq), this will cause a jump to processor virtual address 0000.0001c. all other interrupts will generate a standard interrupt request (irq), this will cause a jump to processor virtual address 0000.00018. setting the appropriate bit in this register enables the corresponding interrupt. all bits are cleared by a system reset. please refer to intsr1 interrupt sta- tus register 1 for individual bit details. 9 tc2oi : tc2 under flow interrupt. this interrupt becomes active on the next falling edge of the timer counter 2 clock after the timer counter has under flowed (reached zero). it is cleared by writing to the tc2eoi location. 10 rtcmi : rtc compare match interrupt. this interrupt becomes active on the next rising edge of the 1 hz real time clock (one second later) after the 32-bit time written to the real time clock match reg- ister exactly matches the current time in the rtc. it is cleared by writing to the rtceoi location. 11 tint : 64 hz tick interrupt. this interrupt becomes active on every rising edge of the internal 64 hz clock signal. this 64 hz clock is derived from the 15-stage ripple counter that divides the 32.768 khz oscillator input down to 1 hz for the real time clock. this interrupt is cleared by writing to the teoi location. note: tint is disabled / turned off during the standby state. 12 utxint1 : internal uart1 transmit fifo half-empty interrupt. the function of this interrupt source depends on whether the uart1 fifo is enabled. if the fifo is disabled (fifoen bit is clear in the uart1 bit rate and line control register), this interrupt will be active when there is no data in the uart1 tx data holding register and be cleared by writing to the uart1 data register. if the fifo is enabled this interrupt will be active when the uart1 tx fifo is half or more empty, and is cleared by filling the fifo to at least half full. 13 urxint1 : internal uart1 receive fifo half full interrupt. the function of this interrupt source depends on whether the uart1 fifo is enabled. if the fifo is disabled this interrupt will be active when there is valid rx data in the uart1 rx data holding register and be cleared by reading this data. if the fifo is enabled this interrupt will be active when the uart1 rx fifo is half or more full or if the fifo is non empty and no more characters have been received for a three character time out period. it is cleared by reading all the data from the rx fifo. 14 umsint : internal uart1 modem status changed interrupt. this interrupt will be active if either of the two modem status lines (cts or dsr) change state. it is cleared by writing to the umseoi location. 15 sseoti : synchronous serial interface end of transfer interrupt. this interrupt will be active after a com- plete data transfer to and from the external adc has been completed. it is cleared by reading the adc data from the syncio register. bit description table 34. intsr1 (cont.) 15 14 13 12 11 10 9 8 sseoti umsint urxint utxint tint rtcmi tc2oi tc1oi 7 6543210 eint3 eint2 eint1 csint mcint weint blint extfiq
ep7212 ds474pp1 69 5.3.3 intsr2 interrupt status register 2 address: 0x8000.1240 this register is an extension of intsr1, containing status bits for backward compatibility with cl- ps7111. the interrupt status register also reflects the current state of the new interrupt sources within the ep7212. each bit is set if the appropriate interrupt is active. the interrupt assignment is given in table 35 . 5.3.4 intmr2 interrupt mask register 2 address: 0x8000.1280 this register is an extension of intmr1, containing interrupt mask bits for the backward compatibility with the cl-ps7111. please refer to intsr2 for individual bit details. 15:14 13 12 11:3 2 1 0 reserved urxint2 utxint2 reserved ss2tx ss2rx kbdint bit description 0 kbdint : keyboard interrupt. this interrupt is generated whenever a key is pressed, from the logical or of the first 6 or all 8 of the port a inputs (depending on the state of the kbd6 bit in the syscon2 register. the interrupt request is latched and can be de-asserted by writing to the kbdeoi location. note: kbdint is not deglitched. 1 ss2rx : synchronous serial interface 2 receives fifo half or greater full interrupt. this is gener- ated when rx fifo contains 8 or more half-words. this interrupt is cleared only when the rx fifo is emptied or one ssi2 clock after rx is disabled. 2 ss2tx : synchronous serial interface 2 transmit fifo less than half empty interrupt. this is gen- erated when tx fifo contains fewer than 8 byte pairs. this interrupt gets cleared by loading the fifo with more data or disabling the tx. one synchronization clock required when disabling the tx side before it takes effect. 12 utxint2 : uart2 transmit fifo half empty interrupt. the function of this interrupt source depends on whether the uart2 fifo is enabled. if the fifo is disabled (fifoen bit is clear in the uart2 bit rate and line control register), this interrupt will be active when there is no data in the uart2 tx data holding register and be cleared by writing to the uart2 data register. if the fifo is enabled, this interrupt will be active when the uart2 tx fifo is half or more empty and is cleared by filling the fifo to at least half full. 13 urxint2 : uart2 receive fifo half full interrupt. the function of this interrupt source depends on whether the uart2 fifo is enabled. if the fifo is disabled, this interrupt will be active when there is valid rx data in the uart2 rx data holding register and be cleared by reading this data. if the fifo is enabled, this interrupt will be active when the uart2 rx fifo is half or more full or if the fifo is non-empty, and no more characters have been received for a three-character time- out period, t is cleared by reading all the data from the rx fifo. table 35. instr2 15:14 13 12 11:3 2 1 0 reserved urxint2 utxint2 reserved ss2tx ss2rx kbdint
ep7212 70 ds474pp1 5.3.5 intsr3 interrupt status register 3 address: 0x8000.2240 this register is an extension of intsr1 and intsr2 containing status bits for the new features of the ep7212. each bit is set if the appropriate interrupt is active. the interrupt assignment is given in table 36 . 5.3.6 intmr3 interrupt mask register 3 address: 0x8000.2280 this register is an extension of intmr1 and intmr2, containing interrupt mask bits for the new fea- tures of the ep7212. please refer to intsr3 for individual bit details. 7:1 0 reserved daiint bit description 0 daiint : dai interface interrupt. the cause must be determined by reading the dai status regis- ter. it is mapped to the fiq interrupt on the arm720t processor table 36. intsr3 7:1 0 reserved daiint
ep7212 ds474pp1 71 5.4 memory configuration registers 5.4.1 memcfg1 memory configuration register 1 address: 0x8000.0180 expansion and rom space is selected by one of eight chip selects. one of the chip selects (ncs[6]) is used internally for the on-chip sram, and the configuration is hardwired for 32-bit-wide, minimum- wait-state operation. ncs[7] is used for the on-chip boot rom and the configuration field is hardwired for 8-bit-wide, minimum-wait-state operation. data written to the configuration fields for either ncs[6] or ncs7 will be ignored. two of the chip selects (ncs[4:5]) can be used to access two cl-ps6700 pc card controller devices, and when either of these interfaces is enabled, the configuration field for the appropriate chip select in the memcfg2 register is ignored. when the pc card1 or 2 control bit in the syscon2 register is disabled, then ncs[4] and ncs[5] are active as normal and can be programmed using the relevant fields of memcfg2, as for the other four chip selects. all of the six external chip selects are active for 256 mbytes and the timing and bus transfer width can be pro- grammed individually. this is accomplished by programming the six-byte-wide fields contained in two 32-bit registers, memcfg1 and memcfg2. all bits in these registers are cleared by a system reset (except for the ncs[6] and ncs[7] configurations). the memory configuration register 1 is a 32-bit read / write register which sets the configuration of the four expansion and rom selects ncs[0:3]. each select is configured with a 1-byte field starting with expansion select 0. 5.4.2 memcfg2 memory configuration register 2 address: 0x8000.01c0 the memory configuration register 2 is a 32-bit read / write register which sets the configuration of the two expansion and rom selects ncs[4:5]. each select is configured with a 1-byte field starting with expansion select 4. each of the six non-reserved byte fields for chip select configuration in the memory configuration reg- isters are identical and define the number of wait states, the bus width, enable expclk output during accesses and enable sequential mode access. this byte field is defined below. this arrangement ap- plies to ncs[0:3], and ncs[4:5] when the pc card enable bits in the syscon2 register are not set. the state of these bits is ignored for the boot rom and local sram fields in the memcfg2 register. table 37 defines the bus width field. note that the effect of this field is dependent on the two bootbit bits that can be read in the sysflg1 register. all bits in the memory configuration register are cleared by a system reset, and the state of the bootbit bits are determined by port e bits 0 and 1 on the ep7212 during power-on reset. the state of pe[1] and pe[0] determine whether the ep7212 is going to boot from either 32-bit-wide, 16-bit-wide or 8-bit-wide roms. table 38 shows the values for the wait states for random and sequential wait states at 13 and 18 mhz bus rates. at 36 mhz bus rate, the encoding becomes more complex. table 39 preserves compati- bility with the previous devices, while allowing the previously unused bit combinations to specify more variations of random and sequential wait states. 31:24 23:16 15:8 7:0 ncs[3] configuration ncs[2] configuration ncs[1] configuration ncs[0] configuration 31:24 23:16 15:8 7:0 (boot rom) (local sram) ncs[5] configuration ncs[4] configuration 76 5:2 1:0 clkenb sqaen wait states field bus width
ep7212 72 ds474pp1 bus width field bootbit1 bootbit0 expansion transfer mode port e bits 1,0 during npor reset 00 0 0 32-bit wide bus access low, low 01 0 0 16-bit wide bus access low, low 10 0 0 8-bit wide bus access low, low 11 0 0 reserved low, low 00 0 1 8-bit wide bus access low, high 01 0 1 reserved low, high 10 0 1 32-bit wide bus access low, high 11 0 1 16-bit wide bus access low, high 00 1 0 16-bit wide bus access high, low 01 1 0 32-bit wide bus access high, low 10 1 0 reserved high, low 11 1 0 8-bit wide bus access high, low table 37. values of the bus width field value no. of wait states random no. of wait states sequential 00 4 3 01 3 2 10 2 1 11 1 0 table 38. values of the wait state field at 13 mhz and 18 mhz bit 3 bit 2 bit 1 bit 0 wait states random wait states sequential 0 000 8 3 0 001 7 3 0 010 6 3 0 011 5 3 0 100 4 2 0 101 3 2 0 110 2 2 0 111 1 2 1 000 8 1 1 001 7 1 1 010 6 1 1 011 5 1 1 100 4 0 1 101 3 0 1 110 2 0 1 111 1 0 table 39. values of the wait state field at 36 mhz
ep7212 ds474pp1 73 see the ac electrical specification section for more detail on bus timing. the memory area decoded by cs[6] is reserved for the on-chip sram, hence this does not require a configuration field in memcfg2. it is automatically set up for 32-bit-wide, no-wait-state accesses. for the boot rom, it is automatically set up for 8-bit, no wait state accesses. chip selects ncs[4] and ncs[5] are used to select two cl-ps6700 pc card controller devices. these have a multiplexed 16-bit wide address / data interface, and the configuration bytes in the memcfg2 register have no meaning when these interfaces are enabled. bit description 6 sqaen : sequential access enable. setting this bit will enable sequential accesses that are on a quad word boundary to take advantage of faster access times from devices that support page mode. the sequential access will be faulted after four words (to allow video refresh cycles to occur), even if the access is part of a longer sequential access. in addition, when this bit is not set, non-sequential accesses will have a single idle cycle inserted at least every four cycles so that the chip select is de-asserted periodically between accesses for easier debug. 7 clkenb : expansion clock enable. setting this bit enables the expclk to be active during accesses to the selected expansion device. this will provide a timing reference for devices that need to extend bus cycles using the exprdy input. back-to-back (but not necessarily page mode) accesses will result in a continuous clock. this bit will only affect expclk when the pll is being used (i.e., in 73.728 ? 18.432 mhz mode). when operating in 13 mhz mode, the expclk pin is an input, so it is not affected by this register bit. to save power internally, it should always be set to zero when operating in 13 mhz mode. table 40. memcfg
ep7212 74 ds474pp1 5.5 timer / counter registers 5.5.1 tc1d timer counter 1 data register address: 0x8000.0300 the timer counter 1 data register is a 16-bit read / write register which sets and reads data to tc1. any value written will be decremented on the next rising edge of the clock. 5.5.2 tc2d timer counter 2 data register address: 0x8000.0340 the timer counter 2 data register is a 16-bit read / write register which sets and reads data to tc2. any value written will be decremented on the next rising edge of the clock. 5.5.3 rtcdr real time clock data register address: 0x8000.0380 the real time clock data register is a 32-bit read / write register, which sets and reads the binary time in the rtc. any value written will be incremented on the next rising edge of the 1 hz clock. this register is reset only by npor. 5.5.4 rtcmr real time clock match register address: 0x8000.03c0 the real time clock match register is a 32-bit read / write register, which sets and reads the binary match time to rtc. any value written will be compared to the current binary time in the rtc, if they match it will assert the rtcmi interrupt source. this register is reset only by npor.
ep7212 ds474pp1 75 5.6 ledflsh register address: 0x8000.22c0 the output is enabled whenever ledflsh[6] = 1. when enabled, pdddr[0] needs to be configured as an output pin and the bit cleared to ? 0 ? (see pdddr port d data direction register ). when the led flasher is disabled, the pin defaults to being used as port d bit 0. thus, this will ensure that the led will be off when disabled. the flash rate is determined by the ledflsh[1:0] bits, in the following way: 65:2 1:0 enable duty ratio flash rate ledflsh[1:0] flash period (sec) 00 1 01 2 10 3 11 4 table 41. led flash rates ledflsh[5:2] duty ratio (time on: time off) ledflsh[5:2] duty ratio (time on: time off) 0000 01:15 1000 09:07 0001 02:14 1001 10:06 0010 03:13 1010 11:05 0011 04:12 1011 12:04 0100 05:11 1100 13:03 0101 06:10 1101 14:02 0110 07:09 1110 15:01 0111 08:08 1111 16:00 (continually on) table 42. led duty ratio
ep7212 76 ds474pp1 5.7 pmpcon pump control register address: 0x8000.0400 the pulse width modulator (pwm) pump control register is a 16-bit read / write register which sets and controls the variable mark space ratio drives for the two pwms. all bits in this register are cleared by a system reset. (the top four bits are unused. they should be written as zeroes, and will read as undefined). the state of the output drive pins is latched during power on reset, this latched value is used to de- termine the polarity of the drive output. the sense of the pwm control lines is summarized in table 44 . external input pins that would normally be connected to the output from comparators monitoring the pwm output are also used to enable these clocks. these are the fb[0:1] pins. when fb[0] is high, the pwm is disabled. the same applies to fb[1]. they are read upon power-up. note: to maximize power savings, the drive ratio fields should be used to disable the pwms, instead of the fb pins. the clocks that source the pwms are disabled when the drive ratio fields are zeroed. 11:8 7:4 3:0 drive 1 pump ratio drive 0 from ac source ratio drive 0 from battery ratio bit description 0:3 drive 0 from battery : this 4-bit field controls the ? on ? time for the drive 0 pwm pump while the system is powered from batteries. setting these bits to 0 disables this pump, while setting these bits to 1 allows the pump to be driven in a 1:16 duty ratio, 2 in a 2:16 duty ratio etc. up to a 15:16 duty ratio. an 8:16 duty ratio results in a square wave of 96 khz when operating with an 18.432 mhz master clock, or 101.6 khz when operating from the 13 mhz source. 4:7 drive 0 from ac : this 4-bit field controls the ? on ? time for the drive 0 dc to dc pump, while the system is powered from a non-battery type power source. setting these bits to 0 disables this pump, setting these bits to 1 allows the pump to be driven in a 1:16 duty ratio, 2 in a 2:16 duty ratio, etc. up to a 15:16 duty ratio. an 8:16 duty ratio results in a square wave of 96 khz when operating with an 18.432 mhz master clock, or 101.6 khz when operating from the 13 mhz source. note: the ep7212 monitors the power supply input pins (i.e., batok and nextpwr) to determine which of the above fields to use. 8:11 drive 1 pump ratio : this 4-bit field controls the ? on ? time for the drive1 pwm pump. setting these bits to 0 disables this pump, while setting these bits to 1 allows the pump to be driven in a 1:16 duty ratio, 2 in a 2:16 duty ratio, etc. up to a 15:16 duty ratio. an 8:16 duty ratio results in a square wave of 96 khz when operating with an 18.432 mhz master clock, or 101.6 khz when operating from the 13 mhz source. table 43. pmpcon initial state of drive 0 or drive 1 during power on reset sense of drive 0 or drive 1 polarity of bias voltage low active high +ve high active low -ve table 44. sense of pwm control lines
ep7212 ds474pp1 77 5.8 codr ? the codec interface data register address: 0x8000.0440 the codr register is an 8-bit read / write register, to be used with the codec interface. this is select- ed by the appropriate setting of bit 0 (sersel) of the syscon2 register. data written to or read from this register is pushed or popped onto the appropriate 16-byte fifo buffer. data from this buffer is then serialized and sent to or received from the codec sound device. when the codec is enabled, the codec interrupt csint is generated repetitively at 1/8th of the byte transfer rate and the state of the fifos can be read in the system flags register. the net data transfer rate to / from the codec device is 8 kbytes/s, giving an interrupt rate of 1 khz. 5.9 uart registers 5.9.1 uartdr1 ? 2, uart1 ? 2 data registers address: 0x8000.0480 and 0x8000.1480 the uartdr registers are 11-bit read and 8-bit write registers for all data transfers to or from the internal uarts 1 and 2. data written to these registers is pushed onto the 16-byte data tx holding fifo if the fifo is enabled. if not it is stored in a one byte holding register. this write will initiate transmission from the uart. the uart data read registers are made up of the 8-bit data byte received from the uart together with three bits of error status. if the fifo is enabled, data read from this register is popped from the 16 byte data rx fifo. if the fifo is not enabled, it is read from a one byte buffer register containing the last byte received by the uart. if it is enabled, data received and error status is automatically pushed onto the rx fifo. the rx fifo is 10-bits wide by 16 deep. note: these registers should be accessed as words. 10 9 8 7:0 overr parerr frmerr rx data bit description 8 frmerr : uart framing error. this bit is set if the uart detected a framing error while receiv- ing the associated data byte. framing errors are caused by non-matching word lengths or bit rates. 9 parerr : uart parity error. this bit is set if the uart detected a parity error while receiving the data byte. 10 overr : uart over-run error. this bit is set if more data is received by the uart and the fifo is full. the overrun error bit is not associated with any single character and so is not stored in the fifo. if this bit is set, the entire contents of the fifo is invalid and should be cleared. this error bit is cleared by reading the uartdr register. table 45. uartdr1-2 uart1-2
ep7212 78 ds474pp1 5.9.2 ubrlcr1 ? 2 uart1 ? 2 bit rate and line control registers address: 0x8000.04c0 and 0x8000.14c0 the bit rate divisor and line control register is a 19-bit read / write register. writing to these registers sets the bit rate and mode of operation for the internal uarts. 31:19 18:17 16 15 14 13 12 11:0 wrdlen fifoen xstop evenprt prten break bit rate divisor bit description 0:11 bit rate divisor : this 12-bit field sets the bit rate. if the system is operating from the pll clock, then the bit rate divider is fed by a clock frequency of 3.6864 mhz, which is then further divided internally by 16 to give the bit rate. the formula to give the divisor value for any bit rate when operating from the pll clock is: divisor = 230400 / (bit rate divisor + 1). a value of zero in this field is illegal when running from the pll clock. the tables below show some example bit rates with the corresponding divisor value. in 13 mhz mode, the clock frequency fed to the uart is 1.8571 mhz. in this mode, zero is a legal divisor value, and will generate the maximum possible bit rate. the tables below show the bit rates available for both 18.432 mhz and 13 mhz operation. 12 break : setting this bit will drive the tx output active (high) to generate a break. 13 prten : parity enable bit. setting this bit enables parity detection and generation 14 evenprt : even parity bit. setting this bit sets parity generation and checking to even parity, clearing it sets odd parity. this bit has no effect if the prten bit is clear. 15 xstop : extra stop bit. setting this bit will cause the uart to transmit two stop bits after each data byte, while clearing it will transmit one stop bit after each data byte. 16 fifoen : set to enable fifo buffering of rx and tx data. clear to disable the fifo (i.e., set its depth to one byte). 17:18 wrdlen : this two bit field selects the word length according to the table below. table 46. ubrlcr1-2 uart1-2 divisor value bit rate running from the pll clock 0 ? 1 115200 2 76800 3 57600 5 38400 11 19200 15 14400 23 9600 95 2400 191 1200 2094 110 divisor value bit rate at 13 mhz error on 13 mhz value 0 116071 0.75% 1 58036 0.75% 2 38690 0.75% 5 19345 0.75% 7 14509 0.75% 11 9673 0.75% 47 2418 0.42% 96 1196 0.28% 1054 110.02 0.18% wrdlen word length 00 5 bits 01 6 bits 10 7 bits 11 8 bits
ep7212 ds474pp1 79 5.10 lcd registers 5.10.1 lcdcon ? the lcd control register address: 0x8000.02c0 the lcd control register is a 32-bit read / write register that controls the size of the lcd screen and the operating mode of the lcd controller. refer to the system description of the lcd controller for more information on video buffer mapping . the lcdcon register should only be reprogrammed when the lcd controller is disabled. 31 30 29:25 24:19 18:13 12:0 gsmd gsen ac prescale pixel prescale line length video buffer size bit description 0:12 video buffer size: the video buffer size field is a 13-bit field that sets the total number of bits x 128 (quad words) in the video display buffer. this is calculated from the formula: video buffer size = (total bits in video buffer / 128) ? 1 i.e., for a 640 x 240 lcd and 4 bits-per-pixel, the size of the video buffer is equal to 614400 bits. video buffer = 640 x 240 x 4=614400 bits video buffer size field = (614400 / 128) ? 1 = 4799 or 0x12bf hex. the minimum value allowed is 3 for this bit field. 13:18 line length : the line length field is a 6-bit field that sets the number of pixels in one complete line. this field is calculated from the formula: line length = (number of pixels in line / 16) ? 1 i.e., for 640 x 240 lcd line length = (640 / 16) ? 1 = 39 or 0x27 hex. the minimum value that can be programmed into this register is a 1 (i.e., 0 is not a legal value). table 47. lcdcon
ep7212 80 ds474pp1 5.10.2 pallsw least significant word ? lcd palette register address: 0x8000.0580 the least and most significant word lcd palette registers make up a 64-bit read / write register which maps the logical pixel value to a physical grayscale level. the 64-bit register is made up of 16 x 4-bit nibbles, each nibble defines the grayscale level associated with the appropriate pixel value. if the lcd controller is operating in two bits-per-pixel, only the lower 4 nibbles are valid (d[15:0] in the least sig- nificant word). similarly, one bit-per-pixel means only the lower 2 nibbles are valid (d[7:0]) in the least significant word. 19:24 pixel prescale : the pixel prescale field is a 6-bit field that sets the pixel rate prescale. the pixel rate is always derived from a 36.864 mhz clock when in pll mode, and is calculated from the formula: pixel rate (mhz) = 36.864 / (pixel prescale + 1) when the ep7212 is operating at 13 mhz, pixel rate is given by the formula: pixel rate (mhz) = 13 / (pixel prescale + 1) the pixel prescale value can be expressed in terms of the lcd size by the formula: when the ep7212 is operating @ 18.432 mhz: pixel prescale = (36864000 / (refresh rate x total pixels in display)) ? 1 when the ep7212 is operating @ 13 mhz: pixel prescale = (13000000 / (refresh rate x total pixels in display)) ? 1 refresh rate is the screen refresh frequency (70 hz to avoid flicker) the value should be rounded down to the nearest whole number and zero is illegal and will result in no pixel clock. example: for a system being operated in the 18.432 ? 73.728 mhz mode, with a 640 x 240 screen size, and 70 hz screen refresh rate desired, the lcd pixel prescale equals 36.864e6 / (70 x 640x240) ? 1 = 2.428 rounding 2.428 down to the nearest whole number equals 2. this gives an actual pixel rate of 36.864e6 / (2+1) = 12.288 mhz, which gives an actual refresh frequency of 12.288e6 / (640x240) = 80 hz. note: as the cl[2] low pulse time is doubled after every cl[1] high pulse this refresh fre- quency is only an approximation, the accurate formula is 12.288e6 / ((640x240)+120) = 79.937 hz. 25:29 ac prescale : the ac prescale field is a 5-bit number that sets the lcd ac bias frequency. this frequency is the required ac bias frequency for a given manufacturer ? s lcd plate. this fre- quency is derived from the frequency of the line clock (cl[1]). the lcd m signal will toggle after n+1 counts of the line clock (cl[1]) where n is the number programmed into the ac prescale field. this number must be chosen to match the manufacturer ? s recommendation. this is nor- mally 13, but must not be exactly divisible by the number of lines in the display. 30 gsen : grayscale enable bit. setting this bit enables grayscale output to the lcd. when this bit is cleared, each bit in the video map directly corresponds to a pixel in the display. 31 gsmd : grayscale mode bit. clearing this bit sets the controller to 2 bits-per-pixel (4 grayscale), setting it sets it to 4 bits-per-pixel (16 grayscale). this bit has no effect if gsen is cleared. 31:28 27:24 23:20 19:16 15:12 11:8 7:4 3:0 grayscale value for pixel value 7 grayscale value for pixel value 6 grayscale value for pixel value 5 grayscale value for pixel value 4 grayscale value for pixel value 3 grayscale value for pixel value 2 grayscale value for pixel value 1 grayscale value for pixel value 0 bit description table 47. lcdcon (cont.)
ep7212 ds474pp1 81 5.10.3 palmsw most significant word ? lcd palette register address: 0x8000.0540 the pixel to grayscale level assignments and the actual physical color and pixel duty ratio for the gray- scale values are shown in table 48 . note that colors 8 ? 15 are the inverse of colors 7 ? 0 respectively. this means that colors 7 and 8 are identical. therefore, in reality only 15 grayscales available, not 16. the steps in the grayscale are non-linear, but have been chosen to give a close approximation to per- ceived linear grayscales. the is due to the eye being more sensitive to changes in gray level close to 50% gray (see pallsw description). 5.10.4 fbaddr lcd frame buffer start address address: 0x8000.1000 this register contains the start address for the lcd frame buffer. it is assumed that the frame buffer starts at location 0x0000000 within each chip select memory region. therefore, the value stored with- in the fbaddr register is only the value of the chip select where the frame buffer is located. on reset, this will be set to 0xc. the register is 4 bits wide (bits [3:0]). this register must only be reprogrammed when the lcd is disabled (i.e., setting the lcden bit within syscon2 low). 31:28 27:24 23:20 19:16 15:12 11:8 7:4 3:0 grayscale value for pixel value 15 grayscale value for pixel value 14 grayscale value for pixel value 13 grayscale value for pixel value 12 grayscale value for pixel value 11 grayscale value for pixel value 10 grayscale value for pixel value 9 grayscale value for pixel value 8 grayscale value duty cycle % pixels lit % step change 000%11.1% 1 1/9 11.1% 8.9% 2 1/5 20.0% 6.7% 3 4/15 26.7% 6.6% 4 3/9 33.3% 6.7% 5 2/5 40.0% 5.4% 6 4/9 44.4% 5.6% 7 1/2 50.0% 0.0% 8 1/2 50.0% 5.6% 9 5/9 55.6% 5.4% 10 3/5 60.0% 6.7% 11 6/9 66.7% 6.6% 12 11/15 73.3% 6.7% 13 4/5 80.0% 8.9% 14 8/9 88.9% 11.1% 15 1 100% table 48. grayscale value to color mapping
ep7212 82 ds474pp1 5.11 ssi register 5.11.1 syncio synchronous serial adc interface data register address: 0x8000.0500 syncio is a 32-bit read / write register. the data written to the syncio register configures the mas- ter only ssi. in default mode, the least significant byte is serialized and transmitted out of the synchro- nous serial interface1 (i.e., ssi1) to configure an external adc, msb first. in extended mode, a variable number of bits are sent from syncio[16:31] as determined by the adc configuration length. the transfer clock will automatically be started at the programmed frequency and a synchro- nization pulse will be issued. the adcin pin is sampled on every positive going clock edge (or the falling clock edge, if adccknsen in syscon3 is set) and the result is shifted in to the syncio read register. during data transfer, the ssibusy bit is set high; at the end of a transfer the sseoti interrupt will be asserted. to clear the interrupt the syncio register must be read. the data read from the syncio register is the last sixteen bits shifted out of the adc. the length of the data frame can be programmed by writing to the syncio register. this allows many different adcs to be accommodated. the device is spi- / microwire-compatible (transfers are in mul- tiples of 8 bits). however, to be compatible with some non-spi / microwire devices, the data written to the adc device can be anything between 8 to 16 bits. this is user-definable per the adc config- uration extension section of the syncio register. in the default mode, the bits in syncio have the following meaning: 31:15 14 13 12:8 7:0 reserved txfrmen smcken frame length adc configuration byte whereas in extended mode, the following applies: 15 14 13 12:7 6:0 reserved txfrmen smcken frame length adc configuration length adc configuration extension note: the frame length in extended mode is 6 bits wide to allow up to 16 write bits, 1 null bit and 16 read bits (= 33 cycles). bit description 0:7 or 0:6 adc configuration byte : when the adccon control bit in the syscon3 register = 0, this is the 8-bit configuration data to be sent to the adc. when the adccon control bit in the syscon3 register = 1, this field determines the length of the adc configuration data held in the adc configuration extension field for sending to the adc. 8:12 or 7:12 frame length : the frame length field is the total number of shift clocks required to complete a data transfer. in default mode, max148/9 (and for many adcs), this is 25 = (8 for configuration byte + 1 null bit + 16 bits result). in extended mode, ad7811/12, this is 23 = (10 for configuration byte + 3 null + 10 bits result). table 49. syncio
ep7212 ds474pp1 83 5.12 stfclr clear all ? start up reason ? flags location address: 0x8000.05c0 a write to this location will clear all the ? start up reason ? flags in the system flags status register sys- flg. the ? start up reason ? flags should first read to determine the reason why the chip was started (i.e., a new battery was installed). any value may be written to this location. 5.13 end of interrupt locations the ? end of interrupt ? locations that follow are written to after the appropriate interrupt has been ser- viced. the write is performed to clear the interrupt status bit, so that other interrupts can be serviced. any value may be written to these locations. 5.13.1 bleoi battery low end of interrupt address: 0x8000.0600 a write to this location will clear the interrupt generated by a low battery (falling edge of batok with nextpwr high). 5.13.2 mceoi media changed end of interrupt address: 0x8000.0640 a write to this location will clear the interrupt generated by a falling edge of the nmedchg input pin. 5.13.3 teoi tick end of interrupt location address: 0x8000.0680 a write to this location will clear the current pending tick interrupt and tick watch dog interrupt. 5.13.4 tc1eoi tc1 end of interrupt location address: 0x8000.06c0 a write to this location will clear the under flow interrupt generated by tc1. 13 smcken : setting this bit will enable a free running sample clock at twice the programmed adc clock frequency to be output on the smplck pin. 14 txfrmen : setting this bit will cause an adc data transfer to be initiated. the value in the adc configuration field will be shifted out to the adc and depending on the frame length programmed, a number of bits will be captured from the adc. if the syncio register is written to with the txfrmen bit low, no adc transfer will take place, but the frame length and smcken bits will be affected. 16:31 adc configuration extension : when the adccon control bit in the syscon3 register = 0, this field is ignored for compatibility with the cl-ps7111. when the adccon control bit in the syscon3 register = 1, this field is the configuration data to be sent to the adc. the adc con- figuration extension field length is determined by the value held in the adc configuration length field (syncio[6:0]). bit description table 49. syncio (cont.)
ep7212 84 ds474pp1 5.13.5 tc2eoi tc2 end of interrupt location address: 0x8000.0700 a write to this location will clear the under flow interrupt generated by tc2. 5.13.6 rtceoi rtc match end of interrupt address: 0x8000.0740 a write to this location will clear the rtc match interrupt 5.13.7 umseoi uart1 modem status changed end of interrupt address: 0x8000.0780 a write to this location will clear the modem status changed interrupt. 5.13.8 coeoi codec end of interrupt location address: 0x8000.07c0 a write to this location clears the sound interrupt (csint). 5.13.9 kbdeoi keyboard end of interrupt location address: 0x8000.1700 a write to this location clears the kbdint keyboard interrupt. 5.13.10 srxeof end of interrupt location address: 0x8000.1600 a write to this location clears the ssi2 rx fifo overflow status bit. 5.14 state control registers 5.14.1 stdby enter the standby state location address: 0x8000.0840 a write to this location will put the system into the standby state by halting the main oscillator. a write to this location while there is an active interrupt will have no effect. notes: 1) before entering the standby state, the lcd controller should be disabled. the lcd controller should be enabled on exit from the standby state. 2) if the ep7212 is attempting to get into the standby state when there is a pending interrupt request, it will not enter into the low power mode. the instruction will get executed, but the processor will ignore the command. 5.14.2 halt enter the idle state location address: 0x8000.0800 a write to this location will put the system into the idle state by halting the clock to the processor until an interrupt is generated. a write to this location while there is an active interrupt will have no effect.
ep7212 ds474pp1 85 5.15 ss2 registers 5.15.1 ss2dr synchronous serial interface 2 data register address: 0x8000.1500 this is the 16-bit-wide data register for the full-duplex master / slave ssi2 synchronous serial inter- face. writing data to this register will initiate a transfer. writes need to be word writes and the bottom 16 bits are transferred to the tx fifo. reads will be 32 bits as well with the lower 16 bits containing rx data, and the upper 16-bits should be ignored. although the interface is byte-oriented, data is writ- ten in two bytes at a time to allow higher bandwidth transfer. it is up to the software to assemble the bytes for the data stream in an appropriate manner. all reads / writes to this register must be word reads / writes. 5.15.2 ss2pop synchronous serial interface 2 pop residual byte address: 0x8000.16c0 this is a write-only location which will cause the contents of the rx shift register to be popped into the rx fifo, thus enabling a residual byte to be read. the data value written to this register is ig- nored. this location should be used in conjunction with the resval and resfrm bits in the sysflg2 register. 5.16 dai register definitions there are five registers within the dai interface, one control register, three data registers, and one status register. the control register is used to mask or unmask interrupt requests to service the dai ? s fifos, and to select whether an on-chip or off-chip clock is used to drive the bit rate, and to enable / disable operation. the first pair of data register addresses the top of the right channel transmit fifo and the bottom of the right channel receive fifo. a read accesses the receive fifos, and a write the transmit fifos. note that these are four physically separate fifos to allow full-duplex transmis- sion. the status register contains bits which signal fifo overrun and underrun errors and transmit and receive fifo service requests. each of these status conditions signal an interrupt request to the interrupt controller. the status register also flags when the transmit fifos are not full when the re- ceive fifos are not empty.
ep7212 86 ds474pp1 5.16.1 dair dai control register address: 0x8000.2000 the dai control register (dair) contains eight different bit fields that control various functions within the dai interface. 31:24 232221201918171615:0 reserved lbm rcrm rctm lcrm lctm reserved ecs daien reserved bit description 0:15 reserved must be set to 0x0404 7 reserved 15 reserved 16 daien: dai interface enable 0 ? dai operation disabled, control of the sdin, sdout, sclklrck, and lrck pins given to the ssi2 / codec / dai pin mulitiplexing logic to assign i/o pins 60-64 to another block. 1 ? dai operation enabled note that by default, the ssi / codec have precedence over the dai interface in regard to the use of the i/o pins. nevertheless, when bit 3 (daisel) of register syscon3 is set to 1, then the above mentioned dai ports are connected to i/o pins 60 ? 64. 17 ecs: external clock select selects external mclk when = 1. 18 reserved must be 0. 19 lctm: left channel transmit fifo interrupt mask 0 ? left channel transmit fifo half-full or less condition does not generate an interrupt (lcts bit ignored). 1 ? left channel transmit fifo half-full or less condition generates an interrupt (state of lcts sent to interrupt controller). 20 lcrm: left channel receive fifo interrupt mask 0 ? left channel receive fifo half-full or more condition does not generate an interrupt (lcrs bit ignored). 1 ? left channel receive fifo half-full or more condition generates an interrupt (state of lcrs sent to interrupt controller). 21 rctm: right channel transmit fifo interrupt mask 0 ? right channel transmit fifo half-full or less condition does not generate an interrupt (rcts bit ignored). 1 ? right channel transmit fifo half-full or less condition generates an interrupt (state of rcts sent to interrupt controller). 22 rcrm: right channel receive fifo interrupt mask 0 ? right channel receive fifo half-full or more condition does not generate an interrupt (rcrs bit ignored). 1 ? right channel receive fifo half-full or more condition generates an interrupt (state of rcrs sent to interrupt controller). 23 lbm: loopback mode 0 ? normal serial port operation enabled 1 ? output of serial shifter is connected to input of serial shifter internally and control of sdin, sdout, sclk, and lrck pins is given to the ppc unit. 24:31 reserved table 50. dai control register
ep7212 ds474pp1 87 5.16.1.1 dai enable (daien) the dai enable (daien) bit is used to enable and disable all dai operation. when the dai is disabled, all of its clocks are powered down to minimize power consumption. note that daien is the only control bit within the dai interface that is reset to a known state. it is cleared to zero to ensure the dai timing is disabled following a reset of the device. when the dai timing is enabled, sclk begins to transition and the start of the first frame is signaled by driving the lrck pin low. the rising and falling-edge of lrck coincides with the rising and falling- edge of sclk. as long as the daien bit is set, the dai interface operates continuously, transmitting and receiving 128 bit data frames. when the daien bit is cleared, the dai interface is disabled im- mediately, causing the current frame which is being transmitted to be terminated. clearing daien re- sets the dai ? s interface fifos. however dai data register 3, the control register and the status register are not reset. therefore, the user must ensure these registers are properly reconfigured be- fore re-enabling the dai interface. 5.16.1.2 dai interrupt generation the dai interface can generate four maskable interrupts and four non-maskable interrupts, as de- scribed in the sections below. only one interrupt line is wired into the interrupt controller for the whole dai interface. this interrupt is the wired or of all eight interrupts (after masking where appropriate). the software servicing the interrupts must read the status register in the dai to determine which source(s) caused the interrupt. it is possible to prevent any dai sources causing an interrupt by mask- ing the dai interrupt in the interrupt controller register. 5.16.1.3 left channel transmit fifo interrupt mask (lctm) the left channel sample transmit fifo interrupt mask (lctm) bit is used to mask or enable the left channel sample transmit fifo service request interrupt. when latm = 0, the interrupt is masked and the state of the left channel transmit fifo service request (lcts) bit within the dai status register is ignored by the interrupt controller. when lctm = 1, the interrupt is enabled and whenever lcts is set (one) an interrupt request is made to the interrupt controller. note that programming lctm = 0 does not affect the current state of lcts or the left channel transmit fifo logic ? s ability to set and clear lcts; it only blocks the generation of the interrupt request. 5.16.1.4 left channel receive fifo interrupt mask (larm) the left channel sample receive fifo interrupt mask (lcrm) bit is used to mask or enable the left channel receive fifo service request interrupt. when lcrm = 0, the interrupt is masked and the state of the left channel sample receive fifo service request (lcrs) bit within the dai status register is ignored by the interrupt controller. when lcrm = 1, the interrupt is enabled and whenever lcrs is set (one) an interrupt request is made to the interrupt controller. note that programming lcrm = 0 does not affect the current state of lcrs or the left channel receive fifo logic ? s ability to set and clear lcrs, it only blocks the generation of the interrupt request. 5.16.1.5 right channel transmit fifo interrupt mask (rctm) the right channel transmit fifo interrupt mask (rctm) bit is used to mask or enable the right chan- nel transmit fifo service request interrupt. when rctm = 0, the interrupt is masked and the state of the right channel transmit fifo service request (rcts) bit within the dai status register is ignored by the interrupt controller. when rctm = 1, the interrupt is enabled and whenever rcts is set (one) an interrupt request is made to the interrupt controller. note that programming rctm = 0 does not affect the current state of rcts or the right channel transmit fifo logic ? s ability to set and clear rcts, for it only blocks the generation of the interrupt request.
ep7212 88 ds474pp1 5.16.1.6 right channel receive fifo interrupt mask (rcrm) the right channel receive fifo interrupt mask (rcrm) bit is used to mask or enable the right channel receive fifo service request interrupt. when rcrm = 0, the interrupt is masked and the state of the right channel receive fifo service request (rcrs) bit within the dai status register is ignored by the interrupt controller. when rcrm = 1, the interrupt is enabled, and whenever rcrs is set (one), an interrupt request is made to the interrupt controller. note that programming rcrm = 0 does not affect the current state of rcrs or the right channel receive fifo logic ? s ability to set and clear rcrs, for it only blocks the generation of the interrupt request. 5.16.1.7 loopback mode (lbm) the loopback mode (lbm) bit is used to enable and disable the ability of the dai ? s transmit and re- ceive logic to communicate. when lbm = 0, the dai operates normally. the transmit and receive data paths are independent and communicate via their respective pins. when lbm = 1, the output of the serial shifter (msb) is directly connected to the input of the serial shifter (lsb) internally and control of the sdout, sdin, sclk, and lrck pins are given to the peripheral pin control (ppc) unit. table 50 shows the bit locations corresponding to the ten different control bit fields within the dai con- trol register. note that the daien bit is the only control bit which is reset to a known state to ensure the dai is disabled following a reset of the device. the reset state of all other control bits is unknown and must be initialized before enabling the dai. writes to reserved bits are ignored, and reads return zeros.
ep7212 ds474pp1 89 5.16.2 dai data registers the dai contains three data registers: daidr0 addresses the top entry of the right channel transmit fifo and bottom entry of the right channel receive fifo; daidr1 addresses the top and bottom entry of the left channel transmit and receive fifos, respectively; and daidr2 is used to perform enable and disable the dai fifos. 5.16.2.1 daidr0 dai data register 0 address: 0x8000.2040 when dai data register 0 (daidr0) is read, the bottom entry of the right channel receive fifo is accessed. as data is removed by the dai ? s receive logic from the incoming data frame, it is placed into the top entry of the right channel receive fifo and is transferred down an entry at a time until it reaches the last empty location within the fifo. data is removed by reading daidr0, which ac- cesses the bottom entry of the right channel fifo. after daidr0 is read, the bottom entry is invali- dated, and all remaining values within the fifo automatically transfer down one location. when daidr0 is written, the top-most entry of the right channel transmit fifo is accessed. after a write, data is automatically transferred down to the lowest location within the transmit fifo which does not already contain valid data. data is removed from the bottom of the fifo one value at a time by the transmit logic, loaded into the correct position within the 64-bit transmit serial shifter, then se- rially shifted out onto the sdout pin. table 51 shows daidr0. note that the transmit and receive right channel fifos are cleared when the device is reset, or by writing a zero to daien (dai disabled). also, note that writes to reserved bits are ignored and reads return zeros. 31:16 15:0 reserved bottom of right channel receive fifo read access 31:16 15:0 reserved top of right channel transmit fifo write access bit description 0:15 right channel data: transmit / receive right channel fifo data read ? bottom of right channel receive fifo data write ? top of right channel transmit fifo data 16:31 reserved table 51. dai data register 0
ep7212 90 ds474pp1 5.16.2.2 daidr1 dai data register 1 address: 0x8000.2080 when dai data register 1 (daidr1) is read, the bottom entry of the left channel receive fifo is accessed. as data is removed by the dai ? s receive logic from the incoming data frame, it is placed into the top entry of the left channel receive fifo and is transferred down an entry at a time until it reaches the last empty location within the fifo. data is removed by reading daidr1, which accesses the bottom entry of the left channel fifo. after daidr1 is read, the bottom entry is invalidated, and all remaining values within the fifo automatically transfer down one location. when daidr1 is written, the top-most entry of the left channel transmit fifo is accessed. after a write, data is automatically transferred down to the lowest location within the transmit fifo which does not already contain valid data. data is removed from the bottom of the fifo one value at a time by the transmit logic. it is then loaded into the correct position within the 64-bit transmit serial shifter then serially shifted out onto the sdout pin. table 52 shows daidr1. note that the transmit and receive left channel fifos are cleared when the device is reset, or by writing a zero to daien (dai disabled). also, note that writes to reserved bits are ignored and reads return zeros . 31:16 15:0 reserved bottom of left channel receive fifo read access 31:16 15:0 reserved top of left channel transmit fifo write access bit description 0:15 left channel data: transmit / receive left channel fifo data read ? bottom of left channel receive fifo data write ? top of left channel transmit fifo data 16:31 reserved table 52. dai data register 1
ep7212 ds474pp1 91 5.16.2.3 daidr2 dai data register 2 address: 0x8000.20c0 daidr2 is a 32-bit register that utilizes 21 bits and is used to enable and disable the fifos for the left and right channels of the dai data stream. the left channel fifo is enabled by writing 0x000d.8000 and disabled by writing 0x000d.0000. the right channel fifo is enabled by writing 0x0011.8000 and disabled by writing 0x0011.0000. after writing a value to this register, wait until the fifo operation complete bit (fifo) is set in the dai status register before writing another value to this register. 31:21 20:16 15 14:0 reserved fifo channel select fifoen reserved bit description 0:14 reserved 15 fifoen: fifo transmit bit 0 ? disable transmit 1 ? enable transmit 16:20 fifo channel select: 01101b ? left channel select 10001b ? right channel select 21:31 reserved table 53. dai data register 2
ep7212 92 ds474pp1 5.16.3 daisr dai status register address: 0x8000.2100 the dai status register (daisr) contains bits which signal fifo overrun and underrun errors and fifo service requests. each of these conditions signal an interrupt request to the interrupt controller. the status register also flags when transmit fifos are not full, when the receive fifos are not empty, when a fifo operation is complete, and when the right channel or left channel portion of the codec is enabled (no interrupt generated). bits which cause an interrupt signal the interrupt request as long as the bit is set. once the bit is cleared, the interrupt is cleared. read / write bits are called status bits, read-only bits are called flags. status bits are referred to as ? sticky ? (once set by hardware, they must be cleared by software). writ- ing a one to a sticky status bit clears it, while writing a zero has no effect. read-only flags are set and cleared by hardware, and writes have no effect. additionally, some bits which cause interrupts have corresponding mask bits in the control register and are indicated in the section headings below. note that the user has the ability to mask all dai interrupts by clearing the dai bit within the interrupt con- troller mask register intmr3. 31:13 12 11 10 9 8 7 reserved fifo lcne lcnf rcne rcnf rccelcro 6543210 rcnflctu lcrorcro lcturctu lcrs lcts lcrsrcrs lctsrcts bit description 0 rcts: right channel transmit fifo service request flag (read-only) 0 ? right channel transmit fifo is more than half full (five or more entries filled) or dai dis- abled 1 ? right channel transmit fifo is half full or less (four or fewer entries filled) and dai opera- tion is enabled, interrupt request signaled if not masked (if rctm = 1) 1 rcrs: right channel receive fifo service request (read-only) 0 ? right channel receive fifo is less than half full (five or fewer entries filled) or dai disabled 1 ? right channel receive fifo is half full or more (six or more entries filled) and dai opera- tion is enabled, interrupt request signaled if not masked (if rcrm = 1) 2 lcts: left channel transmit fifo service request flag (read-only) 0 ? left channel transmit fifo is more than half full or less (four or fewer entries filled) or dai disabled. 1 ? left channel transmit fifo is half full or less (four or fewer entries filled) and dai operation is enabled, interrupt request signaled if not masked (if lctm = 1) 3 lcrs: 0 ? left channel receive fifo is less than half full (five or fewer entries filled) or dai disabled. 1 ? left channel receive fifo is half full or more (six or more entries filled) and dai operation is enabled, interrupt request signalled if not masked (if lcrm = 1) table 54. dai control, data and status register locations
ep7212 ds474pp1 93 4 right channel transmit fifo underrun 0 ? right channel transmit fifo has not experienced an underrun 1 ? right channel transmit logic attempted to fetch data from transmit fifo while it was empty, request interrupt 5 rcro: right channel receive fifo overrun 0 ? right channel receive fifo has not experienced an overrun 1 ? right channel receive logic attempted to place data into receive fifo while it was full, request interrupt 6 lctu: left channel transmit fifo underrun 0 ? left channel transmit fifo has not experienced an underrun 1 ? left channel transmit logic attempted to fetch data from transmit fifo while it was empty, request interrupt 7 lcro: left channel receive fifo overrun 0 ? left channel receive fifo has not experienced an overrun 1 ? left channel receive logic attempted to place data into receive fifo while it was full, request interrupt 8 rcnf: right channel transmit fifo not full (read-only) 0 ? right channel transmit fifo is full 1 ? right channel transmit fifo is not full 9 rcne: right channel receive fifo not empty (read-only) 0 ? right channel receive fifo is empty 1 ? right channel receive fifo is not empty 10 lcnf: lcnetelecom transmit fifo not full (read-only) 0 ? left channel transmit fifo is full 1 ? left channel transmit fifo is not full 11 lcne: left channel receive fifo not empty (read-only) 0 ? left channel receive fifo is empty 1 ? left channel receive fifo is not empty 12 fifo: fifo operation completed (read-only) 0 ? a fifo operation has not completed since the last time this bit was cleared 1 ? the fifo operation was completed 13 reserved 14 reserved 15 reserved 16:31 reserved bit description table 54. dai control, data and status register locations (cont.)
ep7212 94 ds474pp1 5.16.3.1 right channel transmit fifo service request flag (rcts) the right channel transmit fifo service request flag (rcts) is a read-only bit which is set when the right channel transmit fifo is nearly empty and requires service to prevent an underrun. rcts is set any time the right channel transmit fifo has four or fewer entries of valid data (half full or less), and is cleared when it has five or more entries of valid data. when the rcts bit is set, an in- terrupt request is made unless the right channel transmit fifo interrupt request mask (rctm) bit is cleared. after the cpu fills the fifo such that four or more locations are filled within the right chan- nel transmit fifo, the rcts flag (and the service request and / or interrupt) is automatically cleared. 5.16.3.2 right channel receive fifo service request flag (rcrs) the right channel receive fifo service request flag (rcrs) is a read-only bit which is set when the right channel receive fifo is nearly filled and requires service to prevent an overrun. rcrs is set any time the right channel receive fifo has six or more entries of valid data (half full or more), and cleared when it has five or fewer (less than half full) entries of data. when the rcrs bit is set, an interrupt request is made unless the right channel receive fifo interrupt request mask (rcrm) bit is cleared. after six or more entries are removed from the receive fifo, the lcrs flag (and the service request and / or interrupt) is automatically cleared. 5.16.3.3 left channel transmit fifo service request flag (lcts) the left channel transmit fifo service request flag (lcts) is a read-only bit which is set when the left channel transmit fifo is nearly empty and requires service to prevent an underrun. lcts is set any time the left channel transmit fifo has four or fewer entries of valid data (half full or less). it is cleared when it has five or more entries of valid data. when the lcts bit is set, an interrupt re- quest is made unless the left channel transmit fifo interrupt request mask (lctm) bit is cleared. after the cpu fills the fifo such that four or more locations are filled within the left channel transmit fifo, the lcts flag (and the service request and / or interrupt) is automatically cleared. 5.16.3.4 left channel receive fifo service request flag (lcrs) the left channel receive fifo service request flag (lcrs) is a read-only bit which is set when the left channel receive fifo is nearly filled and requires service to prevent an overrun. lcrs is set any time the left channel receive fifo has six or more entries of valid data (half full or more), and cleared when it has five or fewer (less than half full) entries of data. when the lcrs bit is set, an interrupt request is made unless the left channel receive fifo interrupt request mask (lcrm) bit is cleared. after six or more entries are removed from the receive fifo, the lcrs flag (and the ser- vice request and / or interrupt) is automatically cleared. 5.16.3.5 right channel transmit fifo underrun status (rctu) the right channel transmit fifo underrun status bit (rctu) is set when the right channel trans- mit logic attempts to fetch data from the fifo after it has been completely emptied. when an underrun occurs, the right channel transmit logic continuously transmits the last valid right channel value which was transmitted before the underrun occurred. once data is placed in the fifo and it is trans- ferred down to the bottom, the right channel transmit logic uses the new value within the fifo for transmission. when the rctu bit is set, an interrupt request is made. 5.16.3.6 right channel receive fifo overrun status (rcro) the right channel receive fifo overrun status bit (rcro) is set when the right channel receive logic attempts to place data into the right channel receive fifo after it has been completely filled. each time a new piece of data is received, the set signal to the rcro status bit is asserted, and the newly received data is discarded. this process is repeated for each new sample received until at least one empty fifo entry exists. when the rcro bit is set, an interrupt request is made.
ep7212 ds474pp1 95 5.16.3.7 left channel transmit fifo underrun status (lctu) the left channel transmit fifo underrun status bit (lctu) is set when the left channel transmit logic attempts to fetch data from the fifo after it has been completely emptied. when an underrun occurs, the left channel transmit logic continuously transmits the last valid left channel value which was transmitted before the underrun occurred. once data is placed in the fifo and it is transferred down to the bottom, the left channel transmit logic uses the new value within the fifo for transmis- sion. when the lctu bit is set, an interrupt request is made. 5.16.3.8 left channel receive fifo overrun status (lcro) the left channel receive fifo overrun status bit (lcro) is set when the left channel receive log- ic places data into the left channel receive fifo after it has been completely filled. each time a new piece of data is received, the set signal to the lcro status bit is asserted, and the newly received sample is discarded. this process is repeated for each new piece of data received until at least one empty fifo entry exists. when the lcro bit is set, an interrupt request is made. 5.16.3.9 right channel transmit fifo not full flag (rcnf) the right channel transmit fifo not full flag (rcnf) is a read-only bit which is set whenever the right channel transmit fifo contains one or more entries which do not contain valid data and is cleared when the fifo is completely full. this bit can be polled when using programmed i/o to fill the right channel transmit fifo. this bit does not request an interrupt. 5.16.3.10 right channel receive fifo not empty flag (rcne) the right channel receive fifo not empty flag (rcnelcnf) is a read-only bit which is set when ever the right channel receive fifo contains one or more entries of valid data and is cleared when it no longer contains any valid data. this bit can be polled when using programmed i/o to remove remaining data from the receive fifo. this bit does not request an interrupt. 5.16.3.11 left channel transmit fifo not full flag (lcnf) the left channel transmit fifo not full flag (lcnf) is a read-only bit which is set when ever the left channel transmit fifo contains one or more entries which do not contain valid data. it is cleared when the fifo is completely full. this bit can be polled when using programmed i/o to fill the left channel transmit fifo. this bit does not request an interrupt. 5.16.3.12 left channel receive fifo not empty flag (lcne) the left channel receive fifo not empty flag (lcne) is a read-only bit which is set when ever the left channel receive fifo contains one or more entries of valid data and is cleared when it no longer contains any valid data. this bit can be polled when using programmed i/o to remove remaining data from the receive fifo. this bit does not request an interrupt. 5.16.3.13 fifo operation completed flag (fifo) the fifo operation completed (fifo) flag is set after the fifo operation requested by writing to daidr2 as completed. fifo is automatically cleared when daidr2 is read or written. this bit does not request an interrupt.
ep7212 96 ds474pp1 6. electrical specifications 6.1 absolute maximum ratings 6.2 recommended operating conditions 6.3 dc characteristics all characteristics are specified at v dd = 2.5 volts and v ss = 0 volts over an operating temperature of 0 c to +70 c for all frequencies of operation. the current consumption figures relate to typical conditions at 2.5 v, 18.432 mhz operation with the pll switched ? on. ? dc core, pll, and rtc supply voltage 2.9 v dc i/o supply voltage (pad ring) 3.6 v dc pad input current 10 ma/pin; 100 ma cumulative storage temperature, no power ? 40 c to +125 c table 55. absolute maximum ratings dc core, pll, and rtc supply voltage 2.5 v 0.2 v dc i/o supply voltage (pad ring) 2.3v - 3.6v dc input / output voltage o ? i/o supply voltage operating temperature 0 c to +70 c table 56. recommended operating conditions symbol parameter min max unit conditions vih cmos input high voltage 1.7 v dd + 0.3 v v dd = 2.5 v vil cmos input low voltage -0.3 0.8 v v dd = 2.5 v vt+ schmitt trigger positive going threshold 1.6 (typ) 2.0 v vt- schmitt trigger negative going threshold 0.8 1.2 (typ) v vhst schmitt trigger hysteresis 0.1 0.4 v vil to vih voh cmos output high voltage output drive 1 output drive 2 v dd ? 0.2 2.5 2.5 v v v ioh = 0.1 ma oh = 4 ma oh = 12 ma vol cmos output low voltage output drive 1 output drive 2 0.3 0.5 0.5 v v v iol = ? 0.1 ma ol = ? 4 ma ol = ? 12 ma iin input leakage current 1 1avin = v dd or gnd ioz output tri-state leakage current 2, 3 25 100 a vout = v dd or gnd cin input capacitance 8 10 pf cout output capacitance 8 10 pf table 57. dc characteristics
ep7212 ds474pp1 97 ci/o transceiver capacitance 8 10 pf idd startup startup current consumption a initial 100 ms from power up, 32 khz oscillator not stable, por signal at vil, all other i/o static, vih = v dd 0.1 v, vil = gnd 0.1 v idd standby standby current consumption 300 a just 32 khz oscillator running, all other i/o static, vih = v dd 0.1 v, vil = gnd 0.1 v idd idle idle current consumption at 13 mhz at 18 mhz at 36 mhz 4.2 6 12 ma both oscillators running, cpu static, lcd refresh active, vih = v dd 0.1 v, vil = gnd 0.1 v idd operating operating current consumption at 13 mhz at 18 mhz at 36 mhz at 49 mhz at 74 mhz 14 20 40 50 65 ma all system active, running typi- cal program v ddstandby standby supply voltage tbd v minimum standby voltage for state retention and rtc opera- tion only note: all power dissipation values can be derived from taking the particular idd current and multiplying by 2.5 v. the rtc of the ep7212 should be brought up at room temperature. this is required because the rtc osc will not function properly if it is brought up at ? 40 c. once operational, it will continue to operate down to ? 40 c . a typical design will provide 3.3 v to the i/o supply (i.e., v dd io), and 2.5 v to the remaining logic. this is to allow the i/o to be compatible with 3.3 v powered external logic (i.e., 3.3 v drams). pull-up current = 50 a typical at v dd = 3.3 volts. 1. the leakage value given assumes that the pin is configured as an input pin but is not currently being driven. an input pin n ot driven will have a maximum leakage of 1 a. when the pin is driven, there will be no leakage. 2. assumes buffer has no pull-up or pull-down resistors. 3. the leakage value given assumes that the pin is configured as an output pin but is not currently being driven. an output pin not driven will have leakage between 25 a and 100a . when the pin is driven, there will be no leakage. note that this applies to all output pins and all i/o pins configured as outputs. symbol parameter min max unit conditions table 57. dc characteristics (cont.)
ep7212 98 ds474pp1 6.4 ac characteristics all characteristics are specified at v dd = 2.3 to 2.7 volts and v ss = 0 volts over an operating temperature of 0 t1 falling cs to data bus hi-z 0 35 0 25 ns t2 address change to valid write data 0 45 0 35 ns t3 data in to falling expclk setup time 0 # ? 18 ? ns t4 data in to falling expclk hold time 10 # ? 0 ? ns t5 exprdy to falling expclk setup time 0 # ? 18 ? ns t6 falling expclk to exprdy hold time 10 # 50 0 50 ns t7 rising nmwe to data invalid hold time 10 ? 5 ? ns t8 sequential data valid to falling nmwe setup time ? 10 10 ? 10 10 ns t9 row address to falling nras setup time 5 - 5 - ns t10 falling nras to row address hold time 25 - 25 - ns t11 column address to falling ncas setup time 2 - 2 - ns t12 falling ncas to column address hold time 25 - 25 - ns t13 write data valid to falling ncas setup time 2 - 2 - ns t14 write data valid from falling ncas hold time 50 - 50 - ns t15 lcd cl2 low time 80 3,475 80 3,475 ns t16 lcd cl2 high time 80 3,475 80 3,475 ns t17 lcd falling cl[2] to rising cl[1] delay 0 25 0 25 ns t18 lcd falling cl[1] to rising cl[2] 80 3,475 80 3,475 ns t19 lcd cl[1] high time 80 3,475 80 3,475 ns t20 lcd falling cl[1] to falling cl[2] 200 6,950 200 6,950 ns t21 lcd falling cl[1] to frm toggle 300 10,425 300 10,425 ns t22 lcd falling cl[1] to m toggle ? 10 20 ? 10 20 ns t23 lcd rising cl[2] to display data change ? 10 20 ? 10 20 ns t24 falling expclk to address valid ? 33 # ? 5ns t25 data valid to falling nmwe for non sequential access only 5 ? 5 ? ns t31 ssiclk period (slave mode) 0 512 0 512 khz t32 ssiclk high 925 1025 925 1025 ns t33 ssiclk low 925 1025 925 1025 ns t34 ssiclk rise / fall time 7 7 ns t35 ssiclk rising to rx and / or tx frame sync 528 528 ns t36 ssiclk rising edge to frame sync low 448 448 ns t37 ssiclk rising edge to tx data valid 80 80 ns t38 ssirxda data set-up time 30 30 ns table 58. ac timing characteristics
ep7212 ds474pp1 99 t39 ssirxda data hold time 40 40 ns t40 ssitxfr and / or ssirxfr period 750 750 ns note: all dram 36 mhz timings are for edo dram operation. the values for 36 mhz include 1 wait state, the 18 mhz values have 0 wait states. symbol characteristics 13 mhz 18 mhz 36 mhz units min max min max min max t ncsrd negative strobe (ncs[0:5]) zero wait state read access time 120 70 35 ns t ncswr negative strobe (ncs[0:5]) zero wait state write access time 120 70 35 ns t exbst sequential expansion burst mode read access time 55 35 35 ns t rc dram cycle time 230 - 150 - 150 ns t rac access time from ras 110 - 70 - 50 ns t rp ras precharge time 110 - 70 - 50 ns t cas cas pulse width 30 - 20 - 10 ns t cp cas precharge in page mode 20 - 12 - 10 ns t pc page mode cycle time 70 - 45 - 20 ns t csr cas set-up time for auto refresh 20 - 15 - 5 ns t ras ras pulse width 110 - 80 - 50 ns note: all dram 36 mhz timings are for edo dram operation. the values for 36 mhz include 1 wait state, the 18 mhz values have 0 wait states. table 59. timing characteristics symbol parameter 13 mhz 18/36 mhz units min max min max table 58. ac timing characteristics (cont.)
ep7212 100 ds474pp1 tncsrd t3 tpcsrd t4 t3 tadrd t4 t5 t6 t1 data in bus held data in expclk ncs[5:0] nmoe a[27:0] word d[31:0] exprdy figure 13. consecutive memory read cycles with minimum wait states notes: 1) tncsrd = 50 ns at 36.864 mhz 70 ns at 18.432 mhz 120 ns at 13.0 mhz maximum values for minimum wait states. this time can be extended by integer multiples of the clock period (27 ns at 36 mhz, 54 ns at 18.432 mhz, and 77 ns at 1 mhz), by either driving exprdy low and/or by programming a number of wait states. exprdy is sampled on the falling edge of expclk before the data transfer. if low at this point, the transfer is delayed by one clock period where exprdy is sampled again. expclk need not be referenced when driving exprdy, but is shown for clarity. 2) consecutive reads with sequential access enabled are identical except that the sequential access wait state field is used to determine the number of wait states, and no idle cycles are inserted between successive non-sequential rom/expansion cycles. this improves perfor- mance so the sqaen bit should always be set where possible. 3) tncsrd = tadrd = tpcsrd 4) when the ep72xx device implements consecutive reads(e.g., use of the ldm instruction), regardless of the state of the sqaen bit, the signals nmoe and ncsx will always remain low through the entire multi-read access. they will not toggle in-between each different address access. in order to have these signals toggle, single access read instructions (e.g., ldr) must be used.
ep7212 ds474pp1 101 figure 14. sequential page mode read cycles with minimum wait states notes: 1) texbst = 35 ns at 36.864 mhz 35 ns at 18.432 mhz 55 ns at 13.0 mhz (value for 36.864 mhz assumes 1 wait state.) maximum values for minimum wait states. this time can be extended by integer multiples of the clock period (27 nsec at 36 mhz, 54 nsec at 18.432 mhz and 77 ns at 13 mhz), by either driving exprdy low and/or by programming a number of wait states. exprdy is sampled on the falling edge of expclk before the data transfer. if low at this point, the transfer is delayed by one clock period where exprdy is sampled again. expclk need not be referenced when driving exprdy, but is shown for clarity. 2) consecutive reads with sequential access enabled are identical except that the sequential access wait state field is used to determine the number of wait states, and no idle cycles are inserted between successive non-sequential rom/expansion cycles. this improves perfor- mance so the sqaen bit should always be set where possible. texbst texbst t3 texrd t4 t3 t4 t3 t4 t5 t6 t1 data in bus held data in data in 048 expclk ncs[5:0] nmoe a[27:4] word d[31:0] exprdy
ep7212 102 ds474pp1 tncswr tadwr t5 t6 t2 t7 t2 bus held write data write data expclk ncs[5:0] nmwe a[27:0] word d[31:0] exprdy t8 figure 15. consecutive memory write cycles with minimum wait states notes: 1) tncswr = 35 nsec at 36.864 mhz 70 ns at 18.432 mhz 120 ns at 13.0 mhz maximum values for minimum wait states. this time can be extended by integer multiples of the clock period (27 nsec at 36 mhz, 54 nsec at 18.432 mhz, and 77 nsec at 13 mhz), by either driving exprdy low and/or by programming a number of wait states. exprdy is sampled on the falling edge of expclk before the data transfer. if low at this point, the transfer is delayed by one clock period where exprdy is sampled again. expclk need not be referenced when driving exprdy, but is shown for clarity. 2) consecutive reads with sequential access enabled are identical except that the sequential access wait state field is used to determine the number of wait states, and no idle cycles are inserted between successive non-sequential rom/expansion cycles. this improves perfor- mance so the sqaen bit should always be set where possible. 3) zero wait states for sequential writes is not permitted for memory devices which use nmwe pin, as this cannot be driven with valid timing under zero wait state conditions.
ep7212 ds474pp1 103 figure 16. dram read cycles at 13 mhz and 18.432 mhz notes: 1) t rc (read cycle time) = 150 ns max at 18.432 mhz and 230 ns at 13 mhz 2) t ras (ras pulse width) = 70 ns max at 18.432 mhz and 110 ns at 13 mhz 3) t rp (ras precharge time) = 70 ns max at 18.432 mhz and 110 ns at 13 mhz 4) t cas (cas pulse width) = 20 ns max at 18.432 mhz and 30 ns at 13 mhz 5) t cp (cas precharge in page mode) = 12 ns max at 18.432 mhz and 20 ns at 13 mhz 6) t pc (page mode cycle time) = 45 ns min at max at 18.432 mhz and 70 ns at 13 mhz word reads shown, for byte reads, only one off ncas[3:0] will be active, ncas0 for byte 0, etc. row col dra[12:0] expclk d[31:0] t ras row col1 col2 nras[1:0] ncas[3:0] 1 2 t rc t rp t9 t10 t12 t11 t cp t pc t cas coln n dram word read followed by page mode read (expclk shown for reference only)
ep7212 104 ds474pp1 figure 17. dram read cycles at 36 mhz notes: 1) t rc (read cycle time) = 150 ns max 2) t ras (ras pulse width) = 70 ns max 3) t rp (ras precharge time) = 70 ns max 4) t cas (cas pulse width) = 10 ns max 5) t cp (cas precharge in page mode) = 10 ns max 6) t pc (page mode cycle time) = 25 ns max word reads shown, for byte reads, only one off ncas[3:0] will be active, ncas[0] for byte 0, etc. row col dra[12:0] expclk d[31:0] t ras row col1 col2 nras[1:0] ncas[3:0] 1 2 n t rc t rp t9 t10 t12 t11 t cp t pc t cas coln
ep7212 ds474pp1 105 figure 18. dram write cycles at 13 mhz and 18 mhz notes: 1) t rc (write cycle time) = 150 ns max at 18.432 mhz and 230 ns at 13 mhz 2) t ras (ras pulse width) = 70 ns max at 18.432 mhz and 110 ns at 13 mhz 3) t rp (ras precharge time) = 70 ns max at 18.432 mhz and 110 ns at 13 mhz 4) t cas (cas pulse width) = 20 ns max at 18.432 mhz and 30 ns at 13 mhz 5) t cp (cas precharge in page mode) = 66 ns max at 18.432 mhz and 140 ns at 13 mhz 6) t pc (page mode cycle time) = 100 ns min at max at 18.432 mhz and 140 ns at 13 mhz word writes shown, for byte writes, only one off ncas[3:0] will be active, ncas0 for byte 0, etc. row col dra[12:0] expclk d[31:0] t ras row col1 col2 nras[1:0] ncas[3:0] t rc coln data out data out 1 data out 2 data out n t rp t10 t9 t11 t cas t cp t pc t12
ep7212 106 ds474pp1 figure 19. dram write cycles at 36 mhz notes: 1) t rc (write cycle time) = 150 ns max 2) t ras (ras pulse width) = 70 ns max 3) t rp (ras precharge time) = 70 ns max 4) t cas (cas pulse width) = 10 ns max 5) t cp (cas precharge in page mode) = 35 ns max 6) t pc (page mode cycle time) = 50 ns max word reads shown, for byte reads, only one off ncas[3:0] will be active, ncas[0] for byte 0, etc. row col dra[12:0] expclk d[31:0] t ras row col1 col2 nras[1:0] ncas[3:0] t rc t rp t9 t10 t12 t11 t cp t pc coln dram word read followed by page mode read (expclk shown for reference only) data out 2 data out 1 data out n t cas
ep7212 ds474pp1 107 figure 20. video quad word read from dram at 13 mhz and 18 mhz notes: 1). timings are the same as page mode word reads 2) t vacc (video access cycle time) = 326 ns at expclk = 18.432 mhz and 462 ns at 13 mhz figure 21. quad word read from dram at 36 mhz notes: 1). timings are the same as page mode word reads 2) t vacc (video access cycle time) = 220 ns at expclk = 36 mhz 3) the filled-in grey areas are don ? t cares. 0 1 2 3 dra[12:0] expclk ras0 cas[3:0] t vacc row col0 col1 col2 col3 d[31:0] t cas t cp t pc t rp dra[12:0] expclk d[31:0] row col0 nras[1:0] ncas[3:0] col1 t rp col2 col3 1 2 3 4 t vac t cas t cp t pc
ep7212 108 ds474pp1 figure 22. dram cas before ras refresh cycle at 13 mhz and 18 mhz notes: 1). t csa (cas set-up time) = 15 ns max at 18.432 mhz and 20 ns at 13 mhz 2) t ras (ras pulse width) = 70 ns max at 18.432 mhz and 110 ns at 13 mhz 3) t rc (cycle time) = 180 ns max at 18.432 mhz and 230 ns at 13 mhz 4) the filled-in grey area is a don ? t care. when drams are placed in self-refresh (entering the standby state), the same timings, except that t ras is extended indefinitely. t csa expclk held dra[12:0] ras[3:0] cas[3:0] d[31:0] nmoe nmwe row col held t ras t rc
ep7212 ds474pp1 109 figure 23. dram cas before ras refresh cycle at 36 mhz notes: 1) t csa (cas set-up time) = 8 ns max 2) t ras (ras pulse width) = 60 ns max 3) t rc (cycle time) = 167 ns max when drams are placed in self-refresh (entering the standby state), the same timings, except that t ras is extended indefinitely. expclk ncas[3:0] d[31:0] dra[12:0] nras[1:0] t csa t rc t ras held row col held t15 t20 t16 t18 t19 t21 t17 t22 t23 cl[2] cl[1] frm m dd[3:0] figure 24. lcd controller timings notes: 1) the figure shows the end of a line. 2) if frm is high during the cl[1] pulse, this marks the first line in the display. 3) cl[2] low time is doubled during the cl[1] high pulse
ep7212 110 ds474pp1 6.5 i/o buffer characteristics all i/o buffers on the ep7212 are cmos threshold input bidirectional buffers except the oscillator and power pads. for signals that are nominally inputs, the output buffer is only enabled during pin test mode. all output buffers are three stated during system (hi-z) test mode. all buffers have a stan- dard cmos threshold input stage (apart from the schmitt-triggered inputs) and cmos slew-rate- controlled output stages to reduce system noise. table 60 defines the i/o buffer output characteris- tics which will apply across the full range of tem- perature and voltage (i.e., these values are for 3.3 v, +70 1 6 2 3 4 5 7 8 9 10 14 13 12 11 15 22 23 di5 di4 di3 di2 di1 di0 do1 do0 do9 do8 di9 di8 di7 di6 adcclk nadccs adcin adcout (sclk) (nrfs/tfs) (din) (dout) ssiclk ssi rx/txfr ssitxda ssirxda t31 t33 t32 t35 t37 t38 t39 t40 t36 d7 d2 d1 d0 d7 d2 d1 d0 figure 26. ssi2 interface timings
ep7212 ds474pp1 111 buffer type drive current propagation delay (max) rise time (max) fall time (max) load i/o strength 1 4 ma 7 14 14 50 pf i/o strength 2 12 ma 5 6 6 50 pf table 60. i/o buffer output characteristics 6.6 jtag boundary scan signal ordering pin no. signal type strength reset state 1ncs[5] out 1high 2vddiopad pwr 3vssiopad gnd 4expclk i/o 1 5word out 1 low 6write out 1 low 7 run/clken i/o 1 low 8 exprdy in 1 9 txd[2] out 1 high 10 rxd[2] in 11 tdi in with p/u* 12 vssio pad gnd 13 pb[7] i/o 1 input 14 pb[6] i/o 1 input 15 pb[5] i/o 1 input 16 pb[4] i/o 1 input 17 pb[3] i/o 1 input 18 pb[2] i/o 1 input 19 pb[1]/ prdy2 i/o 1 input 20 pb[0]/ prdy1 i/o 1 input 21 vddio pad pwr 22 tdo out 1 tristate 23 pa[7] i/o 1 input 24 pa[6] i/o 1 input 25 pa[5] i/o 1 input 26 pa[4] i/o 1 input 27 pa[3] i/o 1 input 28 pa[2] i/o 1 input 29 pa[1] i/o 1 input 30 pa[0] i/o 1 input 31 leddrv out 1 low table 61. 208-pin lqfp numeric pin listing 32 txd[1] out 1 high 33 vssio pad gnd 1 high 34 phdin in 35 cts in 36 rxd[1] in 37 dcd in 38 dsr in 39 ntest[1] in with p/u* 40 ntest[0] in with p/u* 41 eint[3] in 42 neint[2] in 43 neint[1] in 44 nextfiq in 45 pe[2]/ clksel i/o 1 input 46 pe[1]/ bootsel[1] i/o 1 input 47 pe[0]/ bootsel[0] i/o 1 input 48 vssrtc rtc gnd 49 rtcout out 50 rtcin in 51 vddrtc rtc power 52 n/c 53 pd[7] i/o 1 low 54 pd[6] i/o 1 low 55 pd[5] i/o 1 low 56 pd[4] i/o 1 low 57 vddio pad pwr 58 tms in with p/u* 59 pd[3] i/o 1 low pin no. signal type strength reset state table 61. 208-pin lqfp numeric pin listing (cont.)
ep7212 112 ds474pp1 60 pd[2] i/o 1 low 61 pd[1] i/o 1 low 62 pd[0]/ ledflsh i/o 1 low 63 ssiclk i/o 1 input 64 vssio pad gnd 65 ssitxfr i/o 1 low 66 ssitxda out 1 low 67 ssirxda in 68 ssirxfr i/o input 69 adcin in 70 nadccs out 1 high 71 vsscore core gnd 72 vddcore core pwr 73 vssio pad gnd 74 vddio pad pwr 75 drive[1] i/o 1 high / low 76 drive[0] i/o 1 high / low 77 adcclk out 1 low 78 adcout out 1 low 79 smpclk out 1 low 80 fb[1] in 81 vssio pad gnd 82 fb[0] in 83 col[7] out 1 high 84 col[6] out 1 high 85 col[5] out 1 high 86 col[4] out 1 high 87 col[3] out 1 high 88 col[2] out 1 high 89 vddio pad pwr 90 tclk in 91 col[1] out 1 high 92 col[0] out 1 high 93 buz out 1 low 94 d[31] i/o 1 low 95 d[30] i/o 1 low pin no. signal type strength reset state table 61. 208-pin lqfp numeric pin listing (cont.) 96 d[29] i/o 1 low 97 d[28] i/o 1 low 98 vssio pad gnd 99 a[27] out 2 low 100 d[27] i/o 1 low 101 a[26] out 2 low 102 d[26] i/o 1 low 103 a[25] out 2 low 104 d[25] i/o 1 low 105 halfword out 1 low 106 a[24] out 1 low 107 vddio pad pwr ? 108 vssio pad gnd ? 109 d[24] i/o 1 low 110 a[23] out 1 low 111 d[23] i/o 1 low 112 a[22] out 1 low 113 d[22] i/o 1 low 114 a[21] out 1 low 115 d[21] i/o 1 low 116 vssio pad gnd 117 a[20] out 1 low 118 d[20] i/o 1 low 119 a[19] out 1 low 120 d[19] i/o 1 low 121 a[18] out 1 low 122 d[18] i/o 1 low 123 vddio pad pwr 124 vssio pad gnd 125 ntrst in 126 a[17] out 1 low 127 d[17] i/o 1 low 128 a[16] out 1 low 129 d[16] i/o 1 low 130 a[15]] out 1 low 131 d[15] i/o 1 low 132 a[14] out 1 low 133 d[14] i/o 1 low 134 a[13] out 1 low 135 d[13] i/o 1 low pin no. signal type strength reset state table 61. 208-pin lqfp numeric pin listing (cont.)
ep7212 ds474pp1 113 136 a[12] out 1 low 137 d[12] i/o 1 low 138 a[11] out 1 low 139 vddio pad pwr 140 vssio pad gnd 141 d[11] i/o 1 low 142 a[10] out 1 low 143 d[10] i/o 1 low 144 a[9] out 1 low 145 d[9] i/o 1 low 146 a[8] out 1 low 147 d[8] i/o 1 low 148 a[7] out 1 low 149 vssio pad gnd 150 d[7] i/o 1 low 151 nbatchg in 152 nextpwr in 153 batok in 154 npor in schmitt 155 nmedchg/ nbrom in 156 nureset in schmitt 157 vddosc osc pwr 158 moscin osc 159 moscout osc 160 vssosc osc gnd 161 wakeup in schmitt 162 npwrfl in 163 a[6] out 1 low 164 d[6] i/o 1 low 165 a[5] out 1 low 166 d[5] i/o 1 low 167 vddio pad pwr 168 vssio pad gnd 169 a[4] out 1 low 170 d[4] i/o 1 low 171 a[3] out 2 low 172 d[3] i/o 1 low 173 a[2] out 2 low 174 vssio pad gnd pin no. signal type strength reset state table 61. 208-pin lqfp numeric pin listing (cont.) 175 d[2] i/o 1 low 176 a[1] out 1 low 177 d[1] i/o 1 low 178 a[0] out 1 low 179 d[0] i/o 1 low 180 vss core core gnd 181 vdd core core pwr 182 vssio pad gnd 183 vddio pad pwr 184 cl[2] out 1 low 185 cl[1] out 1 low 186 frm out 1 low 187 m out 1 low 188 dd[3] i/o 1 low 189 dd[2] i/o 1 low 190 vssio pad gnd 191 dd[1] i/o 1 low 192 dd[0] i/o 1 low 193 n/c 194 n/c 195 n/c 196 n/c 197 vddio pad pwr 198 vssio pad gnd 199 n/c 200 n/c 201 nmwe out 1 high 202 nmoe out 1 high 203 vssio pad gnd 204 ncs[0] out 1 high 205 ncs[1] out 1 high 206 ncs[2] out 1 high 207 ncs[3] out 1 high 208 ncs[4] out 1 high note: ? with p/u ? means with internal pull-up on the pin. pin no. signal type strength reset state table 61. 208-pin lqfp numeric pin listing (cont.)
ep7212 114 ds474pp1 7. test modes the ep7212 supports a number of hardware acti- vated test modes, these are activated by the pin combinations shown in table 62 . all latched sig- nals will only alter test modes while npor is low, their state is latched on the rising edge of npor. this allows these signals to be used normally dur- ing various test modes. within each test mode, a selection of pins is used as multiplexed outputs or inputs to provide / monitor the test signals unique to that mode. 7.1 oscillator and pll bypass mode this mode is selected by ntest[0] = 1, ntest[1] = 0. in this mode, all the internal oscillators and pll are disabled, and the appropriate crystal oscillator pins become the direct external oscillator inputs bypass- ing the oscillator and pll. moscin must be driv- en by a 36.864 mhz clock source and rtcin by a 32.768 khz source. 7.2 oscillator and pll test mode this mode is selected by ntest[0] = 0, ntest[1] = 1, latched nureset = 0 this test mode will enable the main oscillator and will output various buffered clock and test signals derived from the main oscillator, pll, and 32-khz oscillator. all internal logic in the ep7212 will be static and isolated from the oscillators, with the ex- ception of the 6-bit ripple counter used to generate 576 khz and the real time clock divide chain. port a is used to drive the inputs of the pll direct- ly, and the various clock and pll outputs are mon- itored on the col pins. table 63 defines the ep7212 signal pins used in this test mode. this mode is only intended to allow test of the oscilla- tors and pll . note that these inputs are inverted before being passed to the pll to ensure that the default state of the port (all zero) maps onto the cor- rect default state of the pll (tsel = 1, xtalon = 1, pllon = 1, d0 = 0, d1 = 1, pllbp = 0). this state will produce the correct frequencies as shown in table 63 . any other combinations are for testing the oscillator and pll and should not be used in- circuit. test mode latched nmedchg latched pe[0] latched pe[1] latched nureset ntest[0] ntest[1] normal operation (32-bit boot) 100x11 normal operation (8-bit boot) 110x11 normal operation (16-bit boot) 101x11 alternative test rom boot 0xxx11 oscillator / pll bypass x x x x 1 0 oscillator / pll test mode xxx001 ice mode xxx100 system test (all hiz) x x x 0 0 0 table 62. ep7212 hardware test modes
ep7212 ds474pp1 115 7.3 debug / ice test mode this mode is selected by ntest0 = 0, ntest1 = 0, latched nureset = 1. selection of this mode enables the debug mode of the arm720t. by default, this is disabled which saves approximately 3% on power. 7.4 hi-z (system) test mode this mode selected by ntest0 = 0, ntest1 = 0, latched nureset = 0. this test mode asynchronously disables all output buffers on the ep7212. this has the effect of re- moving the ep7212 from the pcb so that other de- vices on the pcb can be in-circuit tested. the internal state of the ep7212 is not altered directly by this test mode. 7.5 software selectable test functionality when bit 11 of the syscon register is set high, in- ternal peripheral bus register accesses are output on the main address and data buses as though they were external accesses to the address space ad- dressed by ncs[5]. hence, ncs[5] takes on a dual role, it will be active as the strobe for internal ac- cesses and for any accesses to the standard address range for ncs[5]. additionally, in this mode, the internal signals shown in table 64 are multiplexed out of the device on port pins. this test is not intended to be used when lcd dma accesses are enabled. this is due to the fact that it is possible to have internal peripheral bus ac- tivity simultaneously with a dma transfer. this would cause bus contention to occur on the external bus. the ? waited clock to cpu ? is an internally anded source that generates the actual cpu clock. thus, it is possible to know exactly when the cpu is being clocked by viewing this pin. the signals nfiq and nirq are the two output signals from the internal interrupt controller. they are input directly into the arm720t processor. signal i/o pin function tsel * i pa5 pll test mode xtlon * i pa4 enable to oscillator circuit pllon * i pa3 enable to pll circuit pllbp i pa0 bypasses pll rtcclk o col0 output of rtc oscillator clk1 o col1 1 hz clock from rtc divider chain osc36 o col2 36 mhz divided pll main clock clk576k o col4 576 khz divided from above vref o col6 test clock output for pll table 63. oscillator and pll test mode signals signal i/o pin function clk o pe0 waited clock to cpu nfiq o pe1 nfiq interrupt to cpu nirq o pe2 nirq interrupt to cpu table 64. software selectable test functionality
ep7212 116 ds474pp1 8. pin information 8.1 208-pin lqfp pin diagram 160 159 158 157 53 54 55 56 57 58 59 60 61 62 63 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 106 107 108 109 11 0 11 2 11 3 11 4 11 5 11 6 11 7 11 8 11 9 120 121 64 65 67 68 69 70 71 72 73 74 75 66 98 99 100 101 102 103 104 122 124 125 126 127 128 129 130 105 131 132 133 134 156 155 154 153 152 151 150 149 148 147 146 145 144 143 140 139 138 137 136 141 142 135 161 162 163 164 165 166 167 168 169 170 171 172 173 174 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 201 202 203 204 205 206 207 208 200 175 176 177 178 179 123 111 ep7212 208-pin lqfp (top view) 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 51 50 52 1 nextpwr batok npor vssosc vddosc moscin moscout nureset wakeup a[6] d[6] a[5] d[5] vddio vssio a[4] d[4] a[3] d[3] npwrfl a[2] d[2] a[1] a[0] d[0] vddcore vssio vddio cl[2] cl[1] frm m dd[2] dd[1] dd[0] nras[1] ncas[3] ncas[2] vddio vssio ncas[0] nmwe nmoe ncs[0] ncs[1] ncs[2] ncs[3] d[7] a[7] d[8] a[8] d[9] d[10] a[10] vssio vddio a[11] d[12] a[12] d[13] a[13] d[14] dd[3] d[17] d[15] a[17] /dra[10] ntrst vssio vddio d[18] a[18 /dra[9] d[19] a[19] /dra[8] d[20] vssio a[21] /dra[6] d[22] d[23] a[23] /dra[4] d[24] vssio vddio a[24] /dra[3] halfword a[14] nbatchg a[25]/dra[2] d[25] d[27] a[27]/dra[0] vssio d[28] d[29] d[30] d[31] buz col[0] col[1] tclk vddio col[2] col[3] col[4] col[5] col[6] col[7] fb[0] vssio fb[1] adcout adcclk drive[0] vddio pd[2] vssio vsscore nadccs adcin ssirxda ssirxfr ssitxda ssitxfr vssio ssiclk pd[0]/ledflsh pd[1] pd[3] a[22] /dra[5] pd[4] vddio pd[5] pd[6] drive[1] pd[7] d[26] a[15] /dra[12] d[16] a[16] /dra[11] ncs[4] vddcore a[26]/dra[1] d[21] tms a[20] /dra[7] smpclk d[11] a[9] d[1] vsscore nras[0] ncas[1] vssio vssio vssio vssio expclk word write run/clken exprdy pb[7] pb[6] pb[5] pb[4] pb[3] pb[2] pb[1]/prdy[2] vssio tdi vddio tdo pe[2]/clksel nextfiq pa[6] pa[5] pa[4] pa[3] pa[2] pa[1] pa[0] leddrv txd[2] phdin cts rxd[2] dcd dsr rtcout rtcin vssio pa[7] vddio vssio ncs[5] pb[0]/prdy[1] txd[1] rxd[1] ntest[1] ntest[0] eint[3] neint[2] neint[1] pe[1]bootsel[1] pe[0]bootsel[0] n/c vssrtc vddrtc figure 27. 208-pin lqfp (low profile quad flat pack) pin diagram notes: 1) for package specifications, please see 208--pin lqfp package outline drawing on page 125 2) n/c should not be grounded but left as no connects nmedchg/nbrom
ep7212 ds474pp1 117 8.2 208-pin lqfp numeric pin listing pin no. signal type strength reset state 1ncs[5] out 1high 2vddiopad pwr 3vssiopad gnd 4expclk i/o 1 5word out 1 low 6write out 1 low 7 run/clken i/o 1 low 8 exprdy in 1 9 txd[2] out 1 high 10 rxd[2] in 11 tdi in with p/u* 12 vssio pad gnd 13 pb[7] i/o 1 input 14 pb[6] i/o 1 input 15 pb[5] i/o 1 input 16 pb[4] i/o 1 input 17 pb[3] i/o 1 input 18 pb[2] i/o 1 input 19 pb[1]/ prdy2 i/o 1 input 20 pb[0]/ prdy1 i/o 1 input 21 vddio pad pwr 22 tdo out 1 tristate 23 pa[7] i/o 1 input 24 pa[6] i/o 1 input 25 pa[5] i/o 1 input 26 pa[4] i/o 1 input 27 pa[3] i/o 1 input 28 pa[2] i/o 1 input 29 pa[1] i/o 1 input 30 pa[0] i/o 1 input 31 leddrv out 1 low 32 txd[1] out 1 high 33 vssio pad gnd 1 high 34 phdin in 35 cts in 36 rxd[1] in 37 dcd in table 65. 208-pin lqfp numeric pin listing 38 dsr in 39 ntest[1] in with p/u* 40 ntest[0] in with p/u* 41 eint[3] in 42 neint[2] in 43 neint[1] in 44 nextfiq in 45 pe[2]/ clksel i/o 1 input 46 pe[1]/ bootsel[1] i/o 1 input 47 pe[0]/ bootsel[0] i/o 1 input 48 vssrtc rtc gnd 49 rtcout out 50 rtcin in 51 vddrtc rtc power 52 n/c 53 pd[7] i/o 1 low 54 pd[6] i/o 1 low 55 pd[5] i/o 1 low 56 pd[4] i/o 1 low 57 vddio pad pwr 58 tms in with p/u* 59 pd[3] i/o 1 low 60 pd[2] i/o 1 low 61 pd[1] i/o 1 low 62 pd[0]/ ledflsh i/o 1 low 63 ssiclk i/o 1 input 64 vssio pad gnd 65 ssitxfr i/o 1 low 66 ssitxda out 1 low 67 ssirxda in 68 ssirxfr i/o input 69 adcin in 70 nadccs out 1 high 71 vsscore core gnd pin no. signal type strength reset state table 65. 208-pin lqfp numeric pin listing (cont.)
ep7212 118 ds474pp1 72 vddcore core pwr 73 vssio pad gnd 74 vddio pad pwr 75 drive[1] i/o 2 high / low 76 drive[0] i/o 2 high / low 77 adcclk out 1 low 78 adcout out 1 low 79 smpclk out 1 low 80 fb[1] in 81 vssio pad gnd 82 fb[0] in 83 col[7] out 1 high 84 col[6] out 1 high 85 col[5] out 1 high 86 col[4] out 1 high 87 col[3] out 1 high 88 col[2] out 1 high 89 vddio pad pwr 90 tclk in 91 col[1] out 1 high 92 col[0] out 1 high 93 buz out 1 low 94 d[31] i/o 1 low 95 d[30] i/o 1 low 96 d[29] i/o 1 low 97 d[28] i/o 1 low 98 vssio pad gnd 99 a[27]/dra[0] out 2 low 100 d[27] i/o 1 low 101 a[26]/dra[1] out 2 low 102 d[26] i/o 1 low 103 a[25]/dra[2] out 2 low 104 d[25] i/o 1 low 105 halfword out 1 low 106 a[24]/dra[3] out 1 low 107 vddio pad pwr ? 108 vssio pad gnd ? 109 d[24] i/o 1 low pin no. signal type strength reset state table 65. 208-pin lqfp numeric pin listing (cont.) 110 a[23]/dra[4] out 1 low 111 d[23] i/o 1 low 112 a[22]/dra[5] out 1 low 113 d[22] i/o 1 low 114 a[21]/dra[6] out 1 low 115 d[21] i/o 1 low 116 vssio pad gnd 117 a[20]/dra[7] out 1 low 118 d[20] i/o 1 low 119 a[19]/dra[8] out 1 low 120 d[19] i/o 1 low 121 a[18]/dra[9] out 1 low 122 d[18] i/o 1 low 123 vddio pad pwr 124 vssio pad gnd 125 ntrst in 126 a[17]/dra[10] out 1 low 127 d[17] i/o 1 low 128 a[16]/dra[11] out 1 low 129 d[16] i/o 1 low 130 a[15]/dra[12] out 1 low 131 d[15] i/o 1 low 132 a[14] out 1 low 133 d[14] i/o 1 low 134 a[13] out 1 low 135 d[13] i/o 1 low 136 a[12] out 1 low 137 d[12] i/o 1 low 138 a[11] out 1 low 139 vddio pad pwr 140 vssio pad gnd 141 d[11] i/o 1 low 142 a[10] out 1 low 143 d[10] i/o 1 low 144 a[9] out 1 low 145 d[9] i/o 1 low 146 a[8] out 1 low 147 d[8] i/o 1 low 148 a[7] out 1 low 149 vssio pad gnd pin no. signal type strength reset state table 65. 208-pin lqfp numeric pin listing (cont.)
ep7212 ds474pp1 119 150 d[7] i/o 1 low 151 nbatchg in 152 nextpwr in 153 batok in 154 npor in schmitt 155 nmedchg/ nbrom in 156 nureset in schmitt 157 vddosc osc pwr 158 moscin osc 159 moscout osc 160 vssosc osc gnd 161 wakeup in schmitt 162 npwrfl in 163 a[6] out 1 low 164 d[6] i/o 1 low 165 a[5] out 1 low 166 d[5] i/o 1 low 167 vddio pad pwr 168 vssio pad gnd 169 a[4] out 1 low 170 d[4] i/o 1 low 171 a[3] out 2 low 172 d[3] i/o 1 low 173 a[2] out 2 low 174 vssio pad gnd 175 d[2] i/o 1 low 176 a[1] out 2 low 177 d[1] i/o 1 low 178 a[0] out 2 low 179 d[0] i/o 1 low 180 vss core core gnd 181 vdd core core pwr 182 vssio pad gnd 183 vddio pad pwr 184 cl[2] out 1 low 185 cl[1] out 1 low 186 frm out 1 low 187 m out 1 low pin no. signal type strength reset state table 65. 208-pin lqfp numeric pin listing (cont.) 188 dd[3] i/o 1 low 189 dd[2] i/o 1 low 190 vssio pad gnd 191 dd[1] i/o 1 low 192 dd[0] i/o 1 low 193 nras[1] out 1 high 194 nras[0] out 1 high 195 ncas[3] i/o 2 high 196 ncas[2] i/o 2 high 197 vddio pad pwr 198 vssio pad gnd 199 ncas[1] i/o 2 high 200 ncas[0] i/o 2 high 201 nmwe out 1 high 202 nmoe out 1 high 203 vssio pad gnd 204 ncs[0] out 1 high 205 ncs[1] out 1 high 206 ncs[2] out 1 high 207 ncs[3] out 1 high 208 ncs[4] out 1 high note: ? with p/u ? means with internal pull-up on the pin. pin no. signal type strength reset state table 65. 208-pin lqfp numeric pin listing (cont.)
ep7212 120 ds474pp1 8.3 256-pin pbga pin diagram a b c d e f g h j k l m n p r t 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 256-ball pbga (bottom view) note: for package specifications, please see 256-ball pbga dimensions page 126
ep7212 ds474pp1 121 8.4 256-ball pbga ball listing ball location name type a1 vddio pad power a2 ncs[4] o a3 ncs[1] o a4 ncas[0] o a5 ncas[3] o a6 dd[1] o a7 m o a8 vddio pad power a9 d[0] i/o a10 d[2] i/o a11 a[3] o a12 vddio pad power a13 a[6] o a14 moscout o a15 vddosc oscillator power a16 vssio pad ground b1 ncs[5] o b2 vddio pad power b3 ncs[3] o b4 nmoe o b5 vddio pad power b6 nras[1] o b7 dd[2] o b8 cl[1] o b9 vddcore core power b10 d[1] i/o b11 a[2] o b12 a[4] o b13 a[5] o b14 wakeup i b15 vddio pad power b16 nureset i c1 vddio pad power c2 expclk i c3 vssio pad ground c4 vddio pad power c5 vssio pad ground c6 vssio pad ground table 66. 256-ball pbga ball listing c7 vssio pad ground c8 vddio pad power c9 vssio pad ground c10 vssio pad ground c11 vssio pad ground c12 vddio pad power c13 vssio pad ground c14 vssio pad ground c15 npor i c16 nextpwr i d1 write o d2 exprdy i d3 vssio pad ground d4 vddio pad power d5 ncs[2] o d6 nmwe o d7 nras[1] o d8 cl[2] o d9 vssrtc core ground d10 d[4] i/o d11 npwrfl i d12 moscin i d13 vddio pad power d14 vssio pad ground d15 d[7] i/o d16 d[8] i/o e1 rxd[2] i e2 pb[7] i e3 tdi i e4 word o e5 vssio pad ground e6 ncs[0] o e7 ncas[2] o e8 frm o e9 a[0] o e10 d[5] i/o e11 vssosc oscillator ground e12 vssio pad ground e13 nmedchg/nbrom i ball location name type table 66. 256-ball pbga ball listing (cont.)
ep7212 122 ds474pp1 e14 vddio pad power e15 d[9] i/o e16 d[10] i/o f1 pb[5] i f2 pb[3] i f3 vssio pad ground f4 txd[2] o f5 run/clken o f6 vssio pad ground f7 ncas[1] o f8 dd[3] o f9 a[1] o f10 d[6] i/o f11 vssrtc rtc ground f12 batok i f13 nbatchg i f14 vssio pad ground f15 d[11] i/o f16 vddio pad power g1 pb[1]/prdy[2] i g2 vddio pad power g3 tdo o g4 pb[4] i g5 pb[6] i g6 vssrtc core ground g7 vssrtc rtc ground g8 dd[0] o g9 d[3] i/o g10 vssrtc rtc ground g11 a[7] o g12 a[8] o g13 a[9] o g14 vssio pad ground g15 d[12] i/o g16 d[13] i/o h1 pa[7] i h2 pa[5] i h3 vssio pad ground h4 pa[4] i h5 pa[6] i ball location name type table 66. 256-ball pbga ball listing (cont.) h6 pb[0]/prdy[1] i h7 pb[2] i h8 vssrtc rtc ground h9 vssrtc rtc ground h10 a[10] o h11 a[11] o h12 a[12] o h13 a[13] o h14 vssio pad ground h15 d[14] i/o h16 d[15] i/o j1 pa[3] i j2 pa[1] i j3 vssio pad ground j4 pa[2] i j5 pa[0] i j6 txd[1] o j7 cts i j8 vssrtc rtc ground j9 vssrtc rtc ground j10 a[17]/dra[10] o j11 a[16]/dra[11] o j12 a[15]/dra[12] o j13 a[14] o j14 ntrst i j15 d[16] i/o j16 d[17] i/o k1 leddrv o k2 phdin i k3 vssio pad ground k4 dcd i k5 ntest[1] i k6 eint[3] i k7 vssrtc rtc ground k8 adcin i k9 col[4] o k10 tclk i k11 d[20] i/o k12 d[19] i/o k13 d[18] i/o ball location name type table 66. 256-ball pbga ball listing (cont.)
ep7212 ds474pp1 123 k14 vssio pad ground k15 vddio pad power k16 vddio pad power l1 rxd[1] i l2 dsr i l3 vddio pad power l4 neint[1] i l5 pe[2]/clksel i l6 vssrtc rtc ground l7 pd[0]/ledflsh i/o l8 vssrtc core ground l9 col[6] o l10 d[31] i/o l11 vssrtc rtc ground l12 a[22]/dra[5] o l13 a[21]/dra[6] o l14 vssio pad ground l15 a[18]/dra[9] o l16 a[19]/dra[8] o m1 ntest[0] i m2 neint[2] i m3 vddio pad power m4 pe[0]/bootsel[0] i m5 tms i m6 vddio pad power m7 ssitxfr i/o m8 drive[1] i/o m9 fb[0] i m10 col[0] o m11 d[27] i/o m12 vssio pad ground m13 a[23]/dra[4] o m14 vddio pad power m15 a[20]/dra[7] o m16 d[21] i/o n1 nextfiq i n2 pe[1]/bootsel[1] i n3 vssio pad ground n4 vddio pad power n5 pd[5] i/o ball location name type table 66. 256-ball pbga ball listing (cont.) n6 pd[2] i/o n7 ssirxda i/o n8 adcclk o n9 smpclk o n10 col[2] o n11 d[29] i/o n12 d[26] i/o n13 halfword o n14 vssio pad ground n15 d[22] i/o n16 d[23] i/o p1 vssrtc rtc ground p2 rtcout o p3 vssio pad ground p4 vssio pad ground p5 vddio pad power p6 vssio pad ground p7 vssio pad ground p8 vddio pad power p9 vssio pad ground p10 vddio pad power p11 vssio pad ground p12 vssio pad ground p13 vddio pad power p14 vssio pad ground p15 d[24] i/o p16 vddio pad power r1 rtcin i/o r2 vddio pad power r3 pd[4] i/o r4 pd[1] i/o r5 ssitxda o r6 nadccs o r7 vddio pad power r8 adcout o r9 col[7] o r10 col[3] o r11 col[1] o r12 d[30] i/o r13 a[27]/dra[0] o ball location name type table 66. 256-ball pbga ball listing (cont.)
ep7212 124 ds474pp1 r14 a[25]/dra[2] o r15 vddio pad power r16 a[24]/dra[3] o t1 vddrtc rtc power t2 pd[7] i/o t3 pd[6] i/o t4 pd[3] i/o t5 ssiclk i/o t6 ssirxfr ? t7 vddcore core power t8 drive[0] i/o t9 fb[1] i t10 col[5] o t11 vddio pad power t12 buz o t13 d[28] i/o t14 a[26]/dra[1] o t15 d[25] i/o t16 vssio pad ground ball location name type table 66. 256-ball pbga ball listing (cont.)
ep7212 ds474pp1 125 9. package specifications 9.1 208-pin lqfp package outline drawing pin 1 indicator 29.60 (1.165) 30.40 (1.197) 0.17 (0.007) 0.27 (0.011) 27.80 (1.094) 28.20 (1.110) 0.50 (0.0197) bsc 29.60 (1.165) 30.40 (1.197) 27.80 (1.094) 28.20 (1.110) 1.35 (0.053) 1.45 (0.057) 0 ep7212 208-pin lqfp notes: 1) dimensions are in millimeters (inches), and controlling dimension is millimeter. 2) drawing above does not reflect exact package pin count. 3) before beginning any new design with this device, please contact cirrus logic for the latest package information. 4) for pin description, please see 208-pin lqfp pin diagram page 13
ep7212 126 ds474pp1 9.2 ep7212 256-ball pbga (17 typ ref ref 0.50 3 places 0.80 (0.032) 0.05 (.002) 0.40 (0.016) 0.10 (.004) 0.56 (0.022) 0.06 (0.002) 0.36 (0.022) +0.04 (0.001) ? 0.06 (0.002) 17.00 (0.669) r note: for pin description, please see 256-ball pbga pin diagram page 120 a b c d e f g h j k l m n p r t
ep7212 ds474pp1 127 10. ordering information the order number for the device is: ep7212 ? cv ? a product line: embedded processor part number temperature range: c = commercial package type: v = low profile quad flat pack b = plastic ball grid array (17 mm x 17 mm) revision ? note: ? contact cirrus logic for up-to-date information on revisions. go to the cirrus logic internet site at http://cirrus.com/corporate/contacts to find contact information for your local sales representa- tive.
ep7212 128 ds474pp1 11. appendix a: boot code ;(c) copyright 1995-1996, cirrus logic, inc. all rights reserved. ; ttl cl-ep7212 sample program ; version 1.0 (initial version);ks ; boot from uart1 area |c$$code|,code,readonly entry ; system constants ; hwbaseaddress equ 0x80000000 ; ; hwcontrol equ 0x00000100 hwcontrol2 equ 0x00001100 hwcontroluartenable equ 0x00000100 ; hwstatus equ 0x00000140 hwstatus2 equ 0x000001140 hwstatusuartrxfifoempty equ 0x00400000 ; hwuartdata equ 0x00000480 hwuartdata2 equ 0x00001480 hwuartdataframeerr equ 0x0100 hwuartdataparityerr equ 0x0200 hwuartdataoverrunerr equ 0x0400 hwuartcontrol equ 0x000004c0 hwuartcontrol2 equ 0x000014c0 hwuartcontrolrate equ 0x00000fff hwuartcontrolrate115200 equ 0x001 hwuartcontrolrate76800 equ 0x002 hwuartcontrolrate57600 equ 0x003 hwuartcontrolrate38400 equ 0x005
ep7212 ds474pp1 129 hwuartcontrolrate19200 equ 0x00b hwuartcontrolrate14400 equ 0x00f hwuartcontrolrate9600 equ 0x017 hwuartcontrolrate4800 equ 0x02f hwuartcontrolrate2400 equ 0x05f hwuartcontrolrate1200 equ 0x0bf hwuartcontrolrate600 equ 0x17f hwuartcontrolrate300 equ 0x2ff hwuartcontrolrate150 equ 0x5ff hwuartcontrolrate110 equ 0x82e hwuartcontrolrate115200_13 equ 0x000 hwuartcontrolrate57600_13 equ 0x001 hwuartcontrolrate38400_13 equ 0x002 hwuartcontrolrate19200_13 equ 0x005 hwuartcontrolrate14400_13 equ 0x007 hwuartcontrolrate9600_13 equ 0x00b hwuartcontrolrate4800_13 equ 0x017 hwuartcontrolrate2400_13 equ 0x02f hwuartcontrolrate1200_13 equ 0x060 hwuartcontrolrate600_13 equ 0x0c0 hwuartcontrolrate300_13 equ 0x182 hwuartcontrolrate150_13 equ 0x305 hwuartcontrolrate110_13 equ 0x41e hwuartcontrolbreak equ 0x00001000 hwuartcontrolparityenable equ 0x00002000 hwuartcontrolpartiyevenorodd equ 0x00004000 hwuartcontroltwostopbits equ 0x00008000 hwuartcontrolfifoenable equ 0x00010000 hwuartcontroldatalength equ 0x00060000 hwuartcontroldatalength5 equ 0x00000000 hwuartcontroldatalength6 equ 0x00020000 hwuartcontroldatalength7 equ 0x00040000 hwuartcontroldatalength8 equ 0x00060000 ; ; 9600baud, 8bits/ch no parity, 1 stop bit uartvalue equ hwuartcontrolrate9600+hwuartcontroldatalength8 uartvalue_13 equ hwuartcontrolrate9600_13+hwuartcontroldatalength8 bufferaddress equ 0x10000000 ;start address sram snooze buffer codeexeaddr equ 0x10000000 ; count equ 0x00000800 ;2k bytes
ep7212 130 ds474pp1 startflag equ ?? clkmod equ 0x40 ;clock mode 1 = 13 mhz ; arm processor constants armirqdisable equ 0x00000080 armfiqdisable equ 0x00000040 ; 26bit mode is not supported ; armusermode equ 0x10 armfiqmode equ 0x11 armirqmode equ 0x12 armsvcmode equ 0x13 armabortmode equ 0x17 armundefmode equ 0x1b armmaskmode equ 0x1f ; armmmucp cp 0xf ; armmmuid cn 0x00 ; armmmucontrol cn 0x01 armmmucontrolmmuenable equ 0x00000001 armmmucontrolalignfaultenable equ 0x00000002 armmmucontrolcacheenable equ 0x00000004 armmmucontrolwritebufferenable equ 0x00000008 armmmucontrol32bitcodeenable equ 0x00000010 armmmucontrol32bitdataenable equ 0x00000020 armmmucontrolmandatory equ 0x00000040 armmmucontrolbigendianenable equ 0x00000080 armmmucontrolsystemenable equ 0x00000100 armmmucontrolromenable equ 0x00000200 ; armmmupagetablebase cn 0x02 ; armmmudomainaccess cn 0x03 ; armmmuflushtlb cn 0x05
ep7212 ds474pp1 131 ; armmmupurgetlb cn 0x06 ; armmmuflushidc cn 0x07 ;initialmmuconfig equ armmmucontrol32bitcodeenable +armmmucontrol32bitdataenable +armmmucontrolmandatory +armmmucontrolbigendianenable initialmmuconfig equ armmmucontrol32bitcodeenable +armmmucontrol32bitdataenable +armmmucontrolmandatory ;leave as little endian 11/6/96 ks ;============================================================================== ====== ; real code start ; set little endian, 32bit code, 32bit data by writing to cp15 ? s control register ldr r0, =initialmmuconfig mcr armmmucp, 0, r0, armmmucontrol, c0 ; set the cpu to svc32 mode mrs r0, cpsr ;read psr bic r0, r0, #armmaskmode ;remove the mode bits orr r0, r0, #armsvcmode ;set to supervisor 32 bit mode msr cpsr, r0 ; now set the cpu into the new mode ; ; initialize hw control uartenable ldr r12,=hwbaseaddress mov r0,#hwcontroluartenable ;enable uart str r0,[r12,#hwcontrol] ldr r1,=hwstatus2 add r1,r1,r12 ldr r2,[r1] ;read system flag2 tst r2,#clkmod ldreq r0,=uartvalue ;load 18 mhz value if bit not set ldrne r0,=uartvalue_13 ;load 13 mhz value if bit set
ep7212 132 ds474pp1 str r0,[r12,#hwuartcontrol] ;initialise uart ; send ready signal ldr r0,=startflag strb r0,[r12,#hwuartdata] ; send ready ; receive the data ldr r3,=count ldr r2,=bufferaddress 01 ; wait for byte available ldr r1,[r12,#hwstatus] ; spin, if rx fifo is empty tst r1,#hwstatusuartrxfifoempty bne %b01 ; read the data ,store it and accumulate checksum ldrb r0,[r12,#hwuartdata] ; read data strb r0,[r2],#1 ; save it in memory subs r3,r3,#1 ; decrement count bne %b01 ; do more if count has not expired ; all received, send end flag ldr r0,=endflag strb r0,[r12,#hwuartdata] ; send reply ldr r15,=codeexeaddr ;jump to execution address ltorg end
ep7212 ds474pp1 133 12. index symbols / bootsel0 17 / bootsel1 17 / clksel 17 / ledflsh 16 / prdy1 17 / prdy2 pb 17 alphabetical b boundary scan 50 c clocks 25 external clock input (13 mhz) 26 on-chip pll 25 cpu core 20 d dedicated led flasher 49 dram controller with edo support 31 e endianness 36 f functional block diagram 20 functional description 19 i idle state 29 internal uarts 36 in-circuit emulation 50 interrupt controller 24 l lcd controller 46 m memory and i/o expansion interface 30 ep7211 boot rom 29 o operating state 27 p paddr port a data direction register 58 padr port a data register 57 pbdr port b data register 57 pdddr port d data direction register 58 pddr port d data register 57 peddr port e data direction register 58 pin descriptions, external signal functions 14 pin diagram 13, 116 pin information a 14 adcclk 16 adcin 16 adcout 16 batok 15 buz 16 cl1 16 cl2 16 col 16 cts 16 d 14 dcd 16 dd 16 dra 14 drive 17 dsr 16 eint3 15 expclk 14 exprdy 14 fb 17 frm 16 leddrv 16 m 16 moscin 17 moscout 17 nadccs 16 nbatchg 15 ncs 14 neint 15 nextfiq 15 nextpwr 15 nmedchg/ brom 15 nmoe 14 npor 15 npwrfl 15 ntest 17 nureset 15 pa 17 pb17 pb 17 pd 16, 17 pe 17 phdin 16 rtcin 17 rtcout 17 run/clken 15
ep7212 134 ds474pp1 rxd 16 smpclk 16 ssiclk 16 ssirxda 16 ssirxfr 16 ssitxda 16 ssitxfr 16 tclk 17 tdi 17 tdo 17 tms 17 tnrst 17 txd 16 wakeup 15 write 14 pwm interface 49 r real-time clock 49 resets 24 s serial interface adc interface 42 clock polarity 46 continuous data transfer 45 discontinuous clock 45 error conditions 46 mcp interface 40 mcp operation 41 readback of residual data 44 support for asymmetric traffic 45 serial interfaces 38 codec sound interface 39 sir encoder 36 standby state 29 state control 21 sysflg, the system status flags register 64 t timer counters 47 free running mode 48 prescale mode 48 u uart 20
? notes 


▲Up To Search▲   

 
Price & Availability of EP7212-CB-A

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X